From c016a0579b183591f10445d048fb7a83bad1b40c Mon Sep 17 00:00:00 2001 From: Sung Park Date: Tue, 3 Oct 2017 23:12:40 -0400 Subject: [PATCH 01/31] Add work plan --- work_plan.txt | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100644 work_plan.txt diff --git a/work_plan.txt b/work_plan.txt new file mode 100644 index 0000000..f78f6cd --- /dev/null +++ b/work_plan.txt @@ -0,0 +1,11 @@ +ALU verilog implementation(alu.v) (3 hours) ~10/6 + +Test bench implementation(alu.t.v) (2 hours) ~10/6 + +Revising the code (2 hours) ~10/7 + +Experiment with FPGA (2 hours) ~10/8 + +Analyzing the result (2 hours) ~10/9 + +Writing Report (2 hours) ~10/10 From b724477d31636b371347cc0790ce56a9e9cc8aec Mon Sep 17 00:00:00 2001 From: changjun Date: Tue, 10 Oct 2017 20:52:31 -0400 Subject: [PATCH 02/31] add alu testbench file --- alu.t.v | 22 ++++++++++++++++++++++ 1 file changed, 22 insertions(+) create mode 100644 alu.t.v diff --git a/alu.t.v b/alu.t.v new file mode 100644 index 0000000..394f9ed --- /dev/null +++ b/alu.t.v @@ -0,0 +1,22 @@ +module ALUcontrolLUT +( +output reg[2:0] muxindex, +output reg invertB, +output reg othercontrolsignal, +... +input[2:0] ALUcommand +) + + always @(ALUcommand) begin + case (ALUcommand) + `ADD: begin muxindex = 0; invertB=0; othercontrolsignal = ?; end + `SUB: begin muxindex = 0; invertB=1; othercontrolsignal = ?; end + `XOR: begin muxindex = 1; invertB=0; othercontrolsignal = ?; end + `SLT: begin muxindex = 2; invertB=?; othercontrolsignal = ?; end + `AND: begin muxindex = 3; invertB=?; othercontrolsignal = ?; end + `NAND: begin muxindex = 3; invertB=?; othercontrolsignal = ?; end + `NOR: begin muxindex = ?; invertB=?; othercontrolsignal = ?; end + `OR: begin muxindex = ?; invertB=?; othercontrolsignal = ?; end + endcase + end +endmodule \ No newline at end of file From 11526cd06657b289c20b3fa1e629f8c250de9ba2 Mon Sep 17 00:00:00 2001 From: changjun Date: Tue, 10 Oct 2017 21:02:04 -0400 Subject: [PATCH 03/31] add alu.v --- alu.v | 12 ++++++++++++ 1 file changed, 12 insertions(+) create mode 100644 alu.v diff --git a/alu.v b/alu.v new file mode 100644 index 0000000..0f7dbec --- /dev/null +++ b/alu.v @@ -0,0 +1,12 @@ +module ALU +( +output[31:0] result, +output carryout, +output zero, +output overflow, +input[31:0] operandA, +input[31:0] operandB, +input[2:0] command +); + // Your code here +endmodule \ No newline at end of file From d87a104142e3ecca2b5e3d2bbefb177f326e35b8 Mon Sep 17 00:00:00 2001 From: Sung Park Date: Tue, 10 Oct 2017 22:26:52 -0400 Subject: [PATCH 04/31] Add ADD and SUB test cases --- alu.t.v | 75 ++++++++++++++++++++++++++++++++++++++++----------------- 1 file changed, 53 insertions(+), 22 deletions(-) diff --git a/alu.t.v b/alu.t.v index 394f9ed..2d53aa8 100644 --- a/alu.t.v +++ b/alu.t.v @@ -1,22 +1,53 @@ -module ALUcontrolLUT -( -output reg[2:0] muxindex, -output reg invertB, -output reg othercontrolsignal, -... -input[2:0] ALUcommand -) - - always @(ALUcommand) begin - case (ALUcommand) - `ADD: begin muxindex = 0; invertB=0; othercontrolsignal = ?; end - `SUB: begin muxindex = 0; invertB=1; othercontrolsignal = ?; end - `XOR: begin muxindex = 1; invertB=0; othercontrolsignal = ?; end - `SLT: begin muxindex = 2; invertB=?; othercontrolsignal = ?; end - `AND: begin muxindex = 3; invertB=?; othercontrolsignal = ?; end - `NAND: begin muxindex = 3; invertB=?; othercontrolsignal = ?; end - `NOR: begin muxindex = ?; invertB=?; othercontrolsignal = ?; end - `OR: begin muxindex = ?; invertB=?; othercontrolsignal = ?; end - endcase - end -endmodule \ No newline at end of file +`timescale 1 ns / 1 ps +`include "alu.v" + +`define ADD 3'd0 +`define SUB 3'd1 +`define XOR 3'd2 +`define SLT 3'd3 +`define AND 3'd4 +`define NAND 3'd5 +`define NOR 3'd6 +`define OR 3'd7 + +module testALU(): + reg [31:0] operandA; + reg [31:0] operandB; + reg [2:0] command; + wire [31:0] result; + wire carryout; + wire zero; + wire overflow; + + ALU alu (result, carryout, zero, overflow, operandA, operandB, command); + + initial begin + $display("testing ADD") + + $display("operandA operandB command | result carryout zero overflow | expected outputs"); + + a=32'b00000000000000000000000000000010;b=32'b00000000000000000000000000000001;c=ADD; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000011 0 0 0", result, carryout, zero, overflow); + + a=32'b11111111111111111111111111111111;b=32'b11111111111111111111111111111111;c=ADD; #1000 + $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111110 1 0 0", result, carryout, zero, overflow); + + a=32'b00000000000000000000000000000000;b=32'b00000000000000000000000000000000;c=ADD; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 1 0", result, carryout, zero, overflow); + + a=32'b01111111111111111111111111111111;b=32'b00000000000000000000000000000001;c=ADD; #1000 + $display("%b %b %b | %b %b %b %b | 10000000000000000000000000000000 0 0 1", result, carryout, zero, overflow); + + $display("testing SUB") + + a=32'b00000000000000000000000000000011;b=32'b00000000000000000000000000000001;c=SUB; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000010 0 0 0", result, carryout, zero, overflow); + + a=32'b10000000000000000000000000000000;b=32'b00000000000000000000000000000001;c=SUB; #1000 + $display("%b %b %b | %b %b %b %b | 01111111111111111111111111111111 1 0 1", result, carryout, zero, overflow); + + a=32'b00000000000000000000000000000000;b=32'b00000000000000000000000000000000;c=SUB; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 1 0", result, carryout, zero, overflow); + + a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=SUB; #1000 + $display("%b %b %b | %b %b %b %b | 32'h00000000 1 1 0", result, carryout, zero, overflow); From 004861291ffeccb6509fb0b0a48ce8d7b6875f1f Mon Sep 17 00:00:00 2001 From: changjun Date: Wed, 11 Oct 2017 03:58:26 -0400 Subject: [PATCH 05/31] add test case --- alu.t.v | 93 +++++++++++++++++++++++++++++++++++++++++++++++---------- alu.v | 22 +++++++++----- 2 files changed, 92 insertions(+), 23 deletions(-) diff --git a/alu.t.v b/alu.t.v index 2d53aa8..dfc8464 100644 --- a/alu.t.v +++ b/alu.t.v @@ -26,28 +26,91 @@ module testALU(): $display("operandA operandB command | result carryout zero overflow | expected outputs"); - a=32'b00000000000000000000000000000010;b=32'b00000000000000000000000000000001;c=ADD; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000011 0 0 0", result, carryout, zero, overflow); + a=32'h00000002;b=32'h00000001;c=ADD; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000011 0 0 0", result, carryout, zero, overflow); - a=32'b11111111111111111111111111111111;b=32'b11111111111111111111111111111111;c=ADD; #1000 - $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111110 1 0 0", result, carryout, zero, overflow); + a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=ADD; #1000 + $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111110 1 0 0", result, carryout, zero, overflow); - a=32'b00000000000000000000000000000000;b=32'b00000000000000000000000000000000;c=ADD; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 1 0", result, carryout, zero, overflow); + a=32'h00000000;b=32'h00000000;c=ADD; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 1 0", result, carryout, zero, overflow); - a=32'b01111111111111111111111111111111;b=32'b00000000000000000000000000000001;c=ADD; #1000 - $display("%b %b %b | %b %b %b %b | 10000000000000000000000000000000 0 0 1", result, carryout, zero, overflow); + a=32'h7FFFFFFF;b=32'h00000001;c=ADD; #1000 + $display("%b %b %b | %b %b %b %b | 10000000000000000000000000000000 0 0 1", result, carryout, zero, overflow); $display("testing SUB") - a=32'b00000000000000000000000000000011;b=32'b00000000000000000000000000000001;c=SUB; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000010 0 0 0", result, carryout, zero, overflow); + a=32'h00000003;b=32'h00000001;c=SUB; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000010 0 0 0", result, carryout, zero, overflow); - a=32'b10000000000000000000000000000000;b=32'b00000000000000000000000000000001;c=SUB; #1000 - $display("%b %b %b | %b %b %b %b | 01111111111111111111111111111111 1 0 1", result, carryout, zero, overflow); + a=32'h80000000;b=32'h00000001;c=SUB; #1000 + $display("%b %b %b | %b %b %b %b | 01111111111111111111111111111111 1 0 1", result, carryout, zero, overflow); - a=32'b00000000000000000000000000000000;b=32'b00000000000000000000000000000000;c=SUB; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 1 0", result, carryout, zero, overflow); + a=32'h00000000;b=32'h00000000;c=SUB; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 1 0", result, carryout, zero, overflow); a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=SUB; #1000 - $display("%b %b %b | %b %b %b %b | 32'h00000000 1 1 0", result, carryout, zero, overflow); + $display("%b %b %b | %b %b %b %b | 32'h00000000 1 1 0", result, carryout, zero, overflow); + + $display("testing XOR") + + a=32'hAA550055;b=32'hAAFF55AA;c=XOR; #1000 + $display("%b %b %b | %b %b %b %b | 00000000101010100101010111111111 0 0 0", result, carryout, zero, overflow); + + a=32'hFF00FF00;b=32'h00FF00FF;c=XOR; #1000 + $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111111 0 0 0", result, carryout, zero, overflow); + + $display("testing SLT") + + a=32'h555555AA;b=32'h55AA55AA;c=SLT; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000001 0 0 0", result, carryout, zero, overflow); + + a=32'h555555AA;b=32'h555555AA;c=SLT; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 0 0", result, carryout, zero, overflow); + + a=32'h00FF00FF;b=32'hFF00FF00;c=SLT; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 0 0", result, carryout, zero, overflow); + + a=32'hFFFFFF00;b=32'h0000FFFF;c=SLT; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000001 0 0 0", result, carryout, zero, overflow); + + a=32'hAAAA55AA;b=32'hAA5555AA;c=SLT; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000001 0 0 0", result, carryout, zero, overflow); + + a=32'hFF55FF00;b=32'hFFFF5500;c=SLT; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 0 0", result, carryout, zero, overflow); + + a=32'hFF55FF00;b=32'hFFFF5500;c=SLT; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000001 0 0 0", result, carryout, zero, overflow); + + $display("testing AND") + + a=32'hFF00FF00;b=32'h00FF00FF;c=AND; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000001 0 0 0", result, carryout, zero, overflow); + + a=32'hFF00AA55;b=32'hAAAA55AA;c=AND; #1000 + $display("%b %b %b | %b %b %b %b | 10101010000000000000000000000001 0 0 0", result, carryout, zero, overflow); + + $display("testing NAND") + + a=32'hFF00FF00;b=32'h00FF00FF;c=NAND; #1000 + $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111111 0 0 0", result, carryout, zero, overflow); + + a=32'hFF00AA55;b=32'hAAAA55AA;c=NAND; #1000 + $display("%b %b %b | %b %b %b %b | 01010101111111111111111111111111 0 0 0", result, carryout, zero, overflow); + + $display("testing NOR") + + a=32'h55550055;b=32'hAAFF55AA;c=NOR; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000101010100000000 0 0 0", result, carryout, zero, overflow); + + a=32'hFF00FF00;b=32'h00FF00FF;c=NOR; #1000 + $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 0 0", result, carryout, zero, overflow); + + $display("testing OR") + + a=32'h55FFAA00;b=32'hAAAA55AA;c=OR; #1000 + $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111111 0 0 0", result, carryout, zero, overflow); + + a=32'hFF00FF00;b=32'h00FF00FF;c=OR; #1000 + $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111111 0 0 0", result, carryout, zero, overflow); diff --git a/alu.v b/alu.v index 0f7dbec..bf3e7d9 100644 --- a/alu.v +++ b/alu.v @@ -1,12 +1,18 @@ +`define AND and #50 +`define OR or #50 +`define NOT not #50 + module ALU ( -output[31:0] result, -output carryout, -output zero, -output overflow, -input[31:0] operandA, -input[31:0] operandB, -input[2:0] command + output[31:0] result, + output carryout, + output zero, + output overflow, + input[31:0] operandA, + input[31:0] operandB, + input[2:0] command ); - // Your code here + + + endmodule \ No newline at end of file From 5e8068c47be0c7b26fa7c8b3e85e219bfd328e27 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 00:02:00 -0400 Subject: [PATCH 06/31] revise alu.v --- alu.t.v | 33 ++++++---------- alu.v | 117 ++++++++++++++++++++++++++++++++++++++++++++++++++++++-- 2 files changed, 126 insertions(+), 24 deletions(-) diff --git a/alu.t.v b/alu.t.v index dfc8464..291e799 100644 --- a/alu.t.v +++ b/alu.t.v @@ -1,15 +1,6 @@ `timescale 1 ns / 1 ps `include "alu.v" -`define ADD 3'd0 -`define SUB 3'd1 -`define XOR 3'd2 -`define SLT 3'd3 -`define AND 3'd4 -`define NAND 3'd5 -`define NOR 3'd6 -`define OR 3'd7 - module testALU(): reg [31:0] operandA; reg [31:0] operandB; @@ -57,8 +48,8 @@ module testALU(): a=32'hAA550055;b=32'hAAFF55AA;c=XOR; #1000 $display("%b %b %b | %b %b %b %b | 00000000101010100101010111111111 0 0 0", result, carryout, zero, overflow); - a=32'hFF00FF00;b=32'h00FF00FF;c=XOR; #1000 - $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111111 0 0 0", result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=XOR; #1000 + $display("%b %b %b | %b %b %b %b | 11111111000000000000000011111111 0 0 0", result, carryout, zero, overflow); $display("testing SLT") @@ -85,16 +76,16 @@ module testALU(): $display("testing AND") - a=32'hFF00FF00;b=32'h00FF00FF;c=AND; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000001 0 0 0", result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=AND; #1000 + $display("%b %b %b | %b %b %b %b | 00000000111111110000000000000000 0 0 0", result, carryout, zero, overflow); a=32'hFF00AA55;b=32'hAAAA55AA;c=AND; #1000 - $display("%b %b %b | %b %b %b %b | 10101010000000000000000000000001 0 0 0", result, carryout, zero, overflow); + $display("%b %b %b | %b %b %b %b | 10101010000000000000000000000000 0 0 0", result, carryout, zero, overflow); $display("testing NAND") - a=32'hFF00FF00;b=32'h00FF00FF;c=NAND; #1000 - $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111111 0 0 0", result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=NAND; #1000 + $display("%b %b %b | %b %b %b %b | 11111111000000001111111111111111 0 0 0", result, carryout, zero, overflow); a=32'hFF00AA55;b=32'hAAAA55AA;c=NAND; #1000 $display("%b %b %b | %b %b %b %b | 01010101111111111111111111111111 0 0 0", result, carryout, zero, overflow); @@ -104,13 +95,13 @@ module testALU(): a=32'h55550055;b=32'hAAFF55AA;c=NOR; #1000 $display("%b %b %b | %b %b %b %b | 00000000000000000101010100000000 0 0 0", result, carryout, zero, overflow); - a=32'hFF00FF00;b=32'h00FF00FF;c=NOR; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 0 0", result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=NOR; #1000 + $display("%b %b %b | %b %b %b %b | 00000000111111110000000000000000 0 0 0", result, carryout, zero, overflow); $display("testing OR") a=32'h55FFAA00;b=32'hAAAA55AA;c=OR; #1000 - $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111111 0 0 0", result, carryout, zero, overflow); + $display("%b %b %b | %b %b %b %b | 11111111111111111111111110101010 0 0 0", result, carryout, zero, overflow); - a=32'hFF00FF00;b=32'h00FF00FF;c=OR; #1000 - $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111111 0 0 0", result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=OR; #1000 + $display("%b %b %b | %b %b %b %b | 11111111111111110000000011111111 0 0 0", result, carryout, zero, overflow); diff --git a/alu.v b/alu.v index bf3e7d9..64ecae9 100644 --- a/alu.v +++ b/alu.v @@ -1,6 +1,109 @@ -`define AND and #50 -`define OR or #50 -`define NOT not #50 +`define NANDgate nand #320 +`define NORgate nor #320 +`define NOT not #10 + +`define ADD 3'd0 +`define SUB 3'd1 +`define XOR 3'd2 +`define SLT 3'd3 +`define AND 3'd4 +`define NAND 3'd5 +`define NOR 3'd6 +`define OR 3'd7 + +module ALUcontrolLUT // +( + output reg[2:0] muxindex, + output reg invertB, + output reg less, + + input[2:0] ALUcommand +) + + always @(ALUcommand) begin + case (ALUcommand) + `ADD: begin muxindex = 0; invertB=0; less = 0; end + `SUB: begin muxindex = 0; invertB=1; less = 0; end + `XOR: begin muxindex = 2; invertB=0; less = 0; end + `SLT: begin muxindex = 3; invertB=1; less = 1; end + `AND: begin muxindex = 4; invertB=0; less = 0; end + `NAND: begin muxindex = 5; invertB=0; less = 0; end + `NOR: begin muxindex = 6; invertB=0; less = 0; end + `OR: begin muxindex = 7; invertB=0; less = 0; end + endcase + end +endmodule + +module ALUunit // The bitslice ALU unit +( + output reg bitR, // each bit of result + output reg carryout, // carryout flag for ADD, SUB, SLT + + input bitA, // each bit of operandA + input bitB, // each bit of operandB + input carryin, // carryin input for ADD, SUB, SLT + input less, // a result bit in SLT command. + input[2:0] control +) + + wire[2:0] muxindex; + wire invertBflag; + + ALUcontrolLUT controlLUT(muxindex, invertBflag, , control); + + + wire notA; + wire inputB; + wire nand_AnotB; // for XOR + wire nand_BnotA; // for XOR + wire[7:0] muxinput; + + `NOT not_a(notA, bitA); + `NOT not_b(notB, bitB); + + // needed to implement inputB from bitB and invertBflag (bitB xor invertBflag) + + // needed to import 1bit adder + Fulladder1bit adder(bitR, carryout, bitA, inputB, carryin); + + assign muxinput[`SLT] = less; + `NAND nand_anotb_gate(nand_AnotB, bitA, notB); + `NAND nand_bnota_gate(nand_BnotA, notA, bitB); + `NAND nand_xor_gate(muxinput[`XOR], nand_AnotB, nand_BnotA) + + `NOT not_and_gate(muxinput[`AND], result[`NAND]); + `NANDgate nandgate(muxinput[`NAND], bitA, bitB); + `NORgate norgate(muxinput[`NOR], bitA, bitB); + `NOT not_or_gate(muxinput[`OR], result[`NOR]) + + // needed to import 3bit multiplexer + Multiplexer mux(bitR, muxindex, muxinput); + + +endmodule + +module lastALUunit // last ALU unit, needed for calculating SLT value and overflow flag. +( + output reg bitR, + output reg carryout, + output reg overflow; + output reg slt, // signal for less signal of the first ALU unit + + input bitA, + input bitB, + input carryin, + input less, + input[2:0] control +) + + ALUunit basic_unit(bitR, carryout, bitA, bitB, carryin, control); + + // needed to define XOR gate from NAND, NOT, NOR gate + xor overflowxorgate(overflow, result[31], carryout); + xor slt_xorgate(slt, bitR, overflow); + +endmodule + module ALU ( @@ -13,6 +116,14 @@ module ALU input[2:0] command ); + wire set_SLT; + + // needed to input 1 on carryin only if command is SUB or SLT. + ALUunit firstunit(result[0], operandA[0], operandB[0], , set_SLT, command) + for(int i=1; i<31; i++) begin + ALUunit unit(result[i], result[i+1], operandA[i], operandB[i], result[i-1], 0, command); + end + lastALUunit lastunit(result[31], carryout, overflow, set_SLT, operandA[31], operandB[i], result[30], 0, command); endmodule \ No newline at end of file From b7fc680df9a9d7bb6e1095c9cd700cb86e2f7fab Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 00:03:58 -0400 Subject: [PATCH 07/31] add report --- report.md | 25 +++++++++++++++++++++++++ 1 file changed, 25 insertions(+) create mode 100644 report.md diff --git a/report.md b/report.md new file mode 100644 index 0000000..4b6637f --- /dev/null +++ b/report.md @@ -0,0 +1,25 @@ +# Lab 1 Report + +### Changjun Lim, Sungwoo Park + + +### test case + + +SLT + +We choose 6 cases for SLT. There are 3 parameter related to results, sign of A, sign of B, and A < B. It could be categorized to 8(=23) cases, but when A is negative and B is positive, A < B is always true and when A is positive and B is negative, A < B is always false. So there are only 6 categories. + +For bitwise logic operations(XOR, AND, NAND, NOR, OR), we choose 2 cases and set each case to have 4 categories(in the truth table) in 32 bits. + + + +Work Plan Reflection + +testbench design ~10/10 2hours + +alu design ~10/10 0.5hours +~10/11 2hours + + +revise code ~10/11 0.5hours \ No newline at end of file From b321b1f63792a2f8ced4f482d005ed8cf7689003 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 03:07:05 -0400 Subject: [PATCH 08/31] revise alu.v --- alu.v | 9 ++++++--- 1 file changed, 6 insertions(+), 3 deletions(-) diff --git a/alu.v b/alu.v index 64ecae9..3308463 100644 --- a/alu.v +++ b/alu.v @@ -121,9 +121,12 @@ module ALU // needed to input 1 on carryin only if command is SUB or SLT. ALUunit firstunit(result[0], operandA[0], operandB[0], , set_SLT, command) - for(int i=1; i<31; i++) begin - ALUunit unit(result[i], result[i+1], operandA[i], operandB[i], result[i-1], 0, command); - end + generate + genvar i; + for(int i=1; i<31; i++) begin : generate_alu_unit + ALUunit unit(result[i], result[i+1], operandA[i], operandB[i], result[i-1], 0, command); + end + endgenerate lastALUunit lastunit(result[31], carryout, overflow, set_SLT, operandA[31], operandB[i], result[30], 0, command); endmodule \ No newline at end of file From 2429b6ce15f37d34ad5ff19a721c86efc7ef4102 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 04:10:22 -0400 Subject: [PATCH 09/31] revise alu.v --- alu.v | 35 +++++++++++++++++++++-------------- 1 file changed, 21 insertions(+), 14 deletions(-) diff --git a/alu.v b/alu.v index 3308463..aab7c65 100644 --- a/alu.v +++ b/alu.v @@ -18,7 +18,7 @@ module ALUcontrolLUT // output reg less, input[2:0] ALUcommand -) +); always @(ALUcommand) begin case (ALUcommand) @@ -44,14 +44,13 @@ module ALUunit // The bitslice ALU unit input carryin, // carryin input for ADD, SUB, SLT input less, // a result bit in SLT command. input[2:0] control -) +); wire[2:0] muxindex; wire invertBflag; ALUcontrolLUT controlLUT(muxindex, invertBflag, , control); - wire notA; wire inputB; wire nand_AnotB; // for XOR @@ -67,14 +66,14 @@ module ALUunit // The bitslice ALU unit Fulladder1bit adder(bitR, carryout, bitA, inputB, carryin); assign muxinput[`SLT] = less; - `NAND nand_anotb_gate(nand_AnotB, bitA, notB); - `NAND nand_bnota_gate(nand_BnotA, notA, bitB); - `NAND nand_xor_gate(muxinput[`XOR], nand_AnotB, nand_BnotA) + `NANDgate nand_anotb_gate(nand_AnotB, bitA, notB); + `NANDgate nand_bnota_gate(nand_BnotA, notA, bitB); + `NANDgate nand_xor_gate(muxinput[`XOR], nand_AnotB, nand_BnotA); `NOT not_and_gate(muxinput[`AND], result[`NAND]); `NANDgate nandgate(muxinput[`NAND], bitA, bitB); `NORgate norgate(muxinput[`NOR], bitA, bitB); - `NOT not_or_gate(muxinput[`OR], result[`NOR]) + `NOT not_or_gate(muxinput[`OR], result[`NOR]); // needed to import 3bit multiplexer Multiplexer mux(bitR, muxindex, muxinput); @@ -86,7 +85,7 @@ module lastALUunit // last ALU unit, needed for calculating SLT value and overfl ( output reg bitR, output reg carryout, - output reg overflow; + output reg overflow, output reg slt, // signal for less signal of the first ALU unit input bitA, @@ -94,7 +93,7 @@ module lastALUunit // last ALU unit, needed for calculating SLT value and overfl input carryin, input less, input[2:0] control -) +); ALUunit basic_unit(bitR, carryout, bitA, bitB, carryin, control); @@ -119,12 +118,20 @@ module ALU wire set_SLT; // needed to input 1 on carryin only if command is SUB or SLT. - ALUunit firstunit(result[0], operandA[0], operandB[0], , set_SLT, command) - + ALUunit firstunit(result[0], operandA[0], operandB[0], , set_SLT, command); + + genvar i; generate - genvar i; - for(int i=1; i<31; i++) begin : generate_alu_unit - ALUunit unit(result[i], result[i+1], operandA[i], operandB[i], result[i-1], 0, command); + for(i=1; i<31; i++) begin + ALUunit unit( + result[i], + result[i+1],//carryout + operandA[i], + operandB[i], + result[i-1],//carryin + 0, //result for SLT command + command //command + ); end endgenerate lastALUunit lastunit(result[31], carryout, overflow, set_SLT, operandA[31], operandB[i], result[30], 0, command); From 817f3418aed55bca81d5f4e7d8902c5f285ca5a6 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 04:12:45 -0400 Subject: [PATCH 10/31] revise alu.v --- alu.v | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/alu.v b/alu.v index aab7c65..b519e02 100644 --- a/alu.v +++ b/alu.v @@ -120,9 +120,9 @@ module ALU // needed to input 1 on carryin only if command is SUB or SLT. ALUunit firstunit(result[0], operandA[0], operandB[0], , set_SLT, command); - genvar i; generate - for(i=1; i<31; i++) begin + genvar i; + for(i=1; i<31; i=i+1) begin ALUunit unit( result[i], result[i+1],//carryout From b51a694781287791ee01fb176a138ae507b471d7 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 17:24:46 -0400 Subject: [PATCH 11/31] add XORgate --- alu.v | 18 +++++++----------- 1 file changed, 7 insertions(+), 11 deletions(-) diff --git a/alu.v b/alu.v index b519e02..5907f99 100644 --- a/alu.v +++ b/alu.v @@ -1,6 +1,7 @@ `define NANDgate nand #320 `define NORgate nor #320 -`define NOT not #10 +`define NOTgate not #10 +`define XORgate xor #650 `define ADD 3'd0 `define SUB 3'd1 @@ -53,8 +54,6 @@ module ALUunit // The bitslice ALU unit wire notA; wire inputB; - wire nand_AnotB; // for XOR - wire nand_BnotA; // for XOR wire[7:0] muxinput; `NOT not_a(notA, bitA); @@ -66,14 +65,12 @@ module ALUunit // The bitslice ALU unit Fulladder1bit adder(bitR, carryout, bitA, inputB, carryin); assign muxinput[`SLT] = less; - `NANDgate nand_anotb_gate(nand_AnotB, bitA, notB); - `NANDgate nand_bnota_gate(nand_BnotA, notA, bitB); - `NANDgate nand_xor_gate(muxinput[`XOR], nand_AnotB, nand_BnotA); + `XORgate nand_xor_gate(muxinput[`XOR], bitA, bitB); - `NOT not_and_gate(muxinput[`AND], result[`NAND]); + `NOTgate not_and_gate(muxinput[`AND], result[`NAND]); `NANDgate nandgate(muxinput[`NAND], bitA, bitB); `NORgate norgate(muxinput[`NOR], bitA, bitB); - `NOT not_or_gate(muxinput[`OR], result[`NOR]); + `NOTgate not_or_gate(muxinput[`OR], result[`NOR]); // needed to import 3bit multiplexer Multiplexer mux(bitR, muxindex, muxinput); @@ -97,9 +94,8 @@ module lastALUunit // last ALU unit, needed for calculating SLT value and overfl ALUunit basic_unit(bitR, carryout, bitA, bitB, carryin, control); - // needed to define XOR gate from NAND, NOT, NOR gate - xor overflowxorgate(overflow, result[31], carryout); - xor slt_xorgate(slt, bitR, overflow); + `XORgate overflowxorgate(overflow, result[31], carryout); + `XORgate slt_xorgate(slt, bitR, overflow); endmodule From 21406b1209da1123698615d21750e4e758c9969b Mon Sep 17 00:00:00 2001 From: Sung Park Date: Thu, 12 Oct 2017 17:56:39 -0400 Subject: [PATCH 12/31] Add 3 bit mux --- 3bitMux.v | 26 +++++++++++++++++++++++++ multiplexer.v | 53 +++++++++++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 79 insertions(+) create mode 100644 3bitMux.v create mode 100644 multiplexer.v diff --git a/3bitMux.v b/3bitMux.v new file mode 100644 index 0000000..59c5c09 --- /dev/null +++ b/3bitMux.v @@ -0,0 +1,26 @@ +`define ANDgate and #330 +`define NOTgate not #10 + +`include "multiplexer.v" + +module 3bitMux +( + output out, + input s0, s1, s2, + input[7:0] inputs +); + + wire result1; + wire nS0; + wire result2; + wire andResult1; + wire andResult2; + + structuralMultiplexer mux1(result1, s1, s2); + structuralMultiplexer mux2(result2, s1, s2); + + `NOT invS0(nS0, s0); + `AND and1(andResult1, result1, s0); + `AND and2(andResult2, result2, nS0); + `AND and3(out, andResult1, andResult2); +endmodule diff --git a/multiplexer.v b/multiplexer.v new file mode 100644 index 0000000..80508cd --- /dev/null +++ b/multiplexer.v @@ -0,0 +1,53 @@ +// Multiplexer circuit +`define AND and #50 +`define OR or #50 +`define NOT not #50 +module behavioralMultiplexer +( + output out, + input address0, address1, + input in0, in1, in2, in3 +); + // Join single-bit inputs into a bus, use address as index + wire[3:0] inputs = {in3, in2, in1, in0}; + wire[1:0] address = {address1, address0}; + assign out = inputs[address]; +endmodule + + +module structuralMultiplexer +( + output out, + input address0, address1, + input in0, in1, in2, in3 +); + // Your multiplexer code here + wire nA0; + wire nA1; + wire input0Wire0; + wire input0Wire1; + wire input1Wire0; + wire input1Wire1; + wire input2Wire0; + wire input2Wire1; + wire input3Wire0; + wire input3Wire1; + wire orWire0; + wire orWire1; + + `NOT invA0(nA0, address0); + `NOT invA1(nA1, address1); + `AND input0And0(input0Wire0, nA0, nA1); + `AND input0And1(input0Wire1, input0Wire0, in0); + `AND input1And0(input1Wire0, address0, nA1); + `AND input1And1(input1Wire1, input1Wire0, in1); + `AND input2And0(input2Wire0, nA0, address1); + `AND input2And1(input2Wire1, input2Wire0, in2); + `AND input3And0(input3Wire0, address0, address1); + `AND input3And1(input3Wire1, input3Wire0, in3); + + `OR or1(orWire0, input0Wire1, input1Wire1); + `OR or2(orWire1, orWire0, input2Wire1); + `OR or3(out, orWire1, input3Wire1); +endmodule + From 317817e838b02d5471c1f0cd029321ca985ce3c3 Mon Sep 17 00:00:00 2001 From: Sung Park Date: Thu, 12 Oct 2017 18:22:44 -0400 Subject: [PATCH 13/31] fix 3 bit mux --- 3bitMux.v | 19 ++++++++++--------- 1 file changed, 10 insertions(+), 9 deletions(-) diff --git a/3bitMux.v b/3bitMux.v index 59c5c09..0aeda5c 100644 --- a/3bitMux.v +++ b/3bitMux.v @@ -1,9 +1,10 @@ `define ANDgate and #330 `define NOTgate not #10 +`define ORgate or #330 `include "multiplexer.v" -module 3bitMux +module threeBitMux ( output out, input s0, s1, s2, @@ -11,16 +12,16 @@ module 3bitMux ); wire result1; - wire nS0; + wire nS2; wire result2; wire andResult1; wire andResult2; - structuralMultiplexer mux1(result1, s1, s2); - structuralMultiplexer mux2(result2, s1, s2); - - `NOT invS0(nS0, s0); - `AND and1(andResult1, result1, s0); - `AND and2(andResult2, result2, nS0); - `AND and3(out, andResult1, andResult2); + structuralMultiplexer mux1(result1, s0, s1, inputs[0], inputs[1], inputs[2], inputs[3]); + structuralMultiplexer mux2(result2, s0, s1, inputs[4], inputs[5], inputs[6], inputs[7]); + + `NOTgate invS2(nS2, s2); + `ANDgate and1(andResult1, result1, nS2); + `ANDgate and2(andResult2, result2, s2); + `ORgate or1(out, andResult1, andResult2); endmodule From ebcec370952b4d0af2da00dc0f1da9c303659f5f Mon Sep 17 00:00:00 2001 From: Sung Park Date: Thu, 12 Oct 2017 18:23:00 -0400 Subject: [PATCH 14/31] Add 3 bit mux test cases --- 3bitMux.t.v | 24 ++++++++++++++++++++++++ 1 file changed, 24 insertions(+) create mode 100644 3bitMux.t.v diff --git a/3bitMux.t.v b/3bitMux.t.v new file mode 100644 index 0000000..f8d7c62 --- /dev/null +++ b/3bitMux.t.v @@ -0,0 +1,24 @@ +`timescale 1 ns / 1 ps +`include "3bitMux.v" + +module test3BitMux (); + reg s0, s1, s2; + reg[7:0] inputs; + wire out; + + threeBitMux mux (out, s0, s1, s2, inputs); + + initial begin + $display("s0 s1 s2 inputs | Output"); + s0=0;s1=0;s2=0;inputs=8'b00010000; #1000 + $display("%b %b %b %b | %b", s0, s1, s2, inputs, out); + s0=0;s1=0;s2=0;inputs=8'b10000001; #1000 + $display("%b %b %b %b | %b", s0, s1, s2, inputs, out); + s0=0;s1=0;s2=0;inputs=8'b00000000; #1000 + $display("%b %b %b %b | %b", s0, s1, s2, inputs, out); + s0=0;s1=1;s2=1;inputs=8'b01000001; #1000 + $display("%b %b %b %b | %b", s0, s1, s2, inputs, out); + s0=0;s1=1;s2=0;inputs=8'b00001101; #1000 + $display("%b %b %b %b | %b", s0, s1, s2, inputs, out); + end +endmodule From fd816647ee463e4abe88c31700dabcc5f7075406 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 18:25:20 -0400 Subject: [PATCH 15/31] revise alu.v --- 3bitMux.v | 21 ++++++----- alu.v | 101 ++++++++++++++++++++++++++------------------------ multiplexer.v | 44 +++++++--------------- 3 files changed, 78 insertions(+), 88 deletions(-) diff --git a/3bitMux.v b/3bitMux.v index 59c5c09..6fb2a96 100644 --- a/3bitMux.v +++ b/3bitMux.v @@ -1,9 +1,10 @@ `define ANDgate and #330 `define NOTgate not #10 +`define ORgate or #330 `include "multiplexer.v" -module 3bitMux +module threeBitMux ( output out, input s0, s1, s2, @@ -11,16 +12,16 @@ module 3bitMux ); wire result1; - wire nS0; + wire nS2; wire result2; wire andResult1; wire andResult2; - structuralMultiplexer mux1(result1, s1, s2); - structuralMultiplexer mux2(result2, s1, s2); - - `NOT invS0(nS0, s0); - `AND and1(andResult1, result1, s0); - `AND and2(andResult2, result2, nS0); - `AND and3(out, andResult1, andResult2); -endmodule + structuralMultiplexer mux1(result1, s0, s1, inputs[0], inputs[1], inputs[2], inputs[3]); + structuralMultiplexer mux2(result2, s0, s1, inputs[4], inputs[5], inputs[6], inputs[7]); + + `NOTgate invS2(nS2, s2); + `ANDgate and1(andResult1, result1, nS2); + `ANDgate and2(andResult2, result2, s2); + `ORgate or1(out, andResult1, andResult2); +endmodule \ No newline at end of file diff --git a/alu.v b/alu.v index 5907f99..f52bb4e 100644 --- a/alu.v +++ b/alu.v @@ -1,5 +1,7 @@ `define NANDgate nand #320 +`define ANDgate and #330 `define NORgate nor #320 +`define ORgate or #330 `define NOTgate not #10 `define XORgate xor #650 @@ -12,87 +14,80 @@ `define NOR 3'd6 `define OR 3'd7 -module ALUcontrolLUT // +`include "adder.v" +`include "3bitMux.v" + + +module ALUcontrolLUT // control Lookup Table within ALU unit ( - output reg[2:0] muxindex, - output reg invertB, - output reg less, + output reg[2:0] muxindex, + output reg invertB, - input[2:0] ALUcommand + input[2:0] ALUcommand ); always @(ALUcommand) begin case (ALUcommand) - `ADD: begin muxindex = 0; invertB=0; less = 0; end - `SUB: begin muxindex = 0; invertB=1; less = 0; end - `XOR: begin muxindex = 2; invertB=0; less = 0; end - `SLT: begin muxindex = 3; invertB=1; less = 1; end - `AND: begin muxindex = 4; invertB=0; less = 0; end - `NAND: begin muxindex = 5; invertB=0; less = 0; end - `NOR: begin muxindex = 6; invertB=0; less = 0; end - `OR: begin muxindex = 7; invertB=0; less = 0; end + `ADD: begin muxindex = 0; invertB=0; end + `SUB: begin muxindex = 0; invertB=1; end + `XOR: begin muxindex = 2; invertB=0; end + `SLT: begin muxindex = 3; invertB=1; end + `AND: begin muxindex = 4; invertB=0; end + `NAND: begin muxindex = 5; invertB=0; end + `NOR: begin muxindex = 6; invertB=0; end + `OR: begin muxindex = 7; invertB=0; end endcase end endmodule module ALUunit // The bitslice ALU unit ( - output reg bitR, // each bit of result - output reg carryout, // carryout flag for ADD, SUB, SLT + output bitR, // each bit of result + output carryout, // carryout flag for ADD, SUB, SLT input bitA, // each bit of operandA input bitB, // each bit of operandB input carryin, // carryin input for ADD, SUB, SLT input less, // a result bit in SLT command. - input[2:0] control + input[2:0] muxindex, + input invertBflag ); - wire[2:0] muxindex; - wire invertBflag; - - ALUcontrolLUT controlLUT(muxindex, invertBflag, , control); - - wire notA; - wire inputB; + wire inputB; // B input for adder wire[7:0] muxinput; - `NOT not_a(notA, bitA); - `NOT not_b(notB, bitB); + `XORgate inputB_xorgate(inputB, bitB, invertBflag); - // needed to implement inputB from bitB and invertBflag (bitB xor invertBflag) - - // needed to import 1bit adder - Fulladder1bit adder(bitR, carryout, bitA, inputB, carryin); + fullAdder1bit adder(muxinput[`ADD], carryout, bitA, inputB, carryin); // 1 bit adder for ADD, SUB, SLT assign muxinput[`SLT] = less; `XORgate nand_xor_gate(muxinput[`XOR], bitA, bitB); - `NOTgate not_and_gate(muxinput[`AND], result[`NAND]); `NANDgate nandgate(muxinput[`NAND], bitA, bitB); `NORgate norgate(muxinput[`NOR], bitA, bitB); `NOTgate not_or_gate(muxinput[`OR], result[`NOR]); - // needed to import 3bit multiplexer - Multiplexer mux(bitR, muxindex, muxinput); + mux3bit mux(bitR, muxindex, muxinput); endmodule -module lastALUunit // last ALU unit, needed for calculating SLT value and overflow flag. +module lastALUunit // last ALU unit, which has an ALU unit with outputs of SLT value and overflow flag. ( - output reg bitR, - output reg carryout, - output reg overflow, - output reg slt, // signal for less signal of the first ALU unit + output bitR, + output carryout, + output overflow, + output slt, // signal for less signal of the first ALU unit input bitA, input bitB, input carryin, input less, - input[2:0] control + input[2:0] muxindex, + input invertBflag ); - ALUunit basic_unit(bitR, carryout, bitA, bitB, carryin, control); + ALUunit basic_unit(bitR, carryout, bitA, bitB, carryin, less, muxindex, invertBflag); `XORgate overflowxorgate(overflow, result[31], carryout); `XORgate slt_xorgate(slt, bitR, overflow); @@ -100,25 +95,31 @@ module lastALUunit // last ALU unit, needed for calculating SLT value and overfl endmodule -module ALU +module ALU // total ALU which has 32 basic ALU units and control unit. ( output[31:0] result, output carryout, output zero, output overflow, + input[31:0] operandA, input[31:0] operandB, input[2:0] command ); - wire set_SLT; + wire[2:0] muxindex; //input address of MUX for every ALU unit + wire invertBflag;//invertB flag input for every ALU unit + wire set_SLT; //less input for the first ALU unit + + ALUcontrolLUT controlLUT(muxindex, invertBflag, command); - // needed to input 1 on carryin only if command is SUB or SLT. - ALUunit firstunit(result[0], operandA[0], operandB[0], , set_SLT, command); - - generate + ALUunit firstunit( + result[0], result[1], // output: result, carryout + operandA[0], operandB[0], invertBflag, set_SLT, muxindex, invertBflag + ); + generate // 2nd to 31st adder instantiation genvar i; - for(i=1; i<31; i=i+1) begin + for(i=1; i<31; i=i+1) begin: generate_alu_unit ALUunit unit( result[i], result[i+1],//carryout @@ -126,10 +127,14 @@ module ALU operandB[i], result[i-1],//carryin 0, //result for SLT command - command //command + muxindex, + invertBflag ); end endgenerate - lastALUunit lastunit(result[31], carryout, overflow, set_SLT, operandA[31], operandB[i], result[30], 0, command); + lastALUunit lastunit( + result[31], carryout, // output: result, carryout + overflow, set_SLT, operandA[31], operandB[i], result[30], 0, muxindex, invertBflag + ); endmodule \ No newline at end of file diff --git a/multiplexer.v b/multiplexer.v index 80508cd..cdc87c7 100644 --- a/multiplexer.v +++ b/multiplexer.v @@ -1,20 +1,4 @@ -// Multiplexer circuit -`define AND and #50 -`define OR or #50 -`define NOT not #50 -module behavioralMultiplexer -( - output out, - input address0, address1, - input in0, in1, in2, in3 -); - // Join single-bit inputs into a bus, use address as index - wire[3:0] inputs = {in3, in2, in1, in0}; - wire[1:0] address = {address1, address0}; - assign out = inputs[address]; -endmodule - - +// 2bit address Multiplexer circuit module structuralMultiplexer ( output out, @@ -35,19 +19,19 @@ module structuralMultiplexer wire orWire0; wire orWire1; - `NOT invA0(nA0, address0); - `NOT invA1(nA1, address1); - `AND input0And0(input0Wire0, nA0, nA1); - `AND input0And1(input0Wire1, input0Wire0, in0); - `AND input1And0(input1Wire0, address0, nA1); - `AND input1And1(input1Wire1, input1Wire0, in1); - `AND input2And0(input2Wire0, nA0, address1); - `AND input2And1(input2Wire1, input2Wire0, in2); - `AND input3And0(input3Wire0, address0, address1); - `AND input3And1(input3Wire1, input3Wire0, in3); + `NOTgate invA0(nA0, address0); + `NOTgate invA1(nA1, address1); + `ANDgate input0And0(input0Wire0, nA0, nA1); + `ANDgate input0And1(input0Wire1, input0Wire0, in0); + `ANDgate input1And0(input1Wire0, address0, nA1); + `ANDgate input1And1(input1Wire1, input1Wire0, in1); + `ANDgate input2And0(input2Wire0, nA0, address1); + `ANDgate input2And1(input2Wire1, input2Wire0, in2); + `ANDgate input3And0(input3Wire0, address0, address1); + `ANDgate input3And1(input3Wire1, input3Wire0, in3); - `OR or1(orWire0, input0Wire1, input1Wire1); - `OR or2(orWire1, orWire0, input2Wire1); - `OR or3(out, orWire1, input3Wire1); + `ORgate or1(orWire0, input0Wire1, input1Wire1); + `ORgate or2(orWire1, orWire0, input2Wire1); + `ORgate or3(out, orWire1, input3Wire1); endmodule From c6bceb4c28744fdd5a6be04d12150c387f058051 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 18:30:40 -0400 Subject: [PATCH 16/31] revise 3bitMux.v --- 3bitMux.v | 14 +++++--------- alu.v | 2 +- 2 files changed, 6 insertions(+), 10 deletions(-) diff --git a/3bitMux.v b/3bitMux.v index 6fb2a96..d06fdbf 100644 --- a/3bitMux.v +++ b/3bitMux.v @@ -1,13 +1,9 @@ -`define ANDgate and #330 -`define NOTgate not #10 -`define ORgate or #330 - `include "multiplexer.v" module threeBitMux ( output out, - input s0, s1, s2, + input[2:0] addr, input[7:0] inputs ); @@ -17,11 +13,11 @@ module threeBitMux wire andResult1; wire andResult2; - structuralMultiplexer mux1(result1, s0, s1, inputs[0], inputs[1], inputs[2], inputs[3]); - structuralMultiplexer mux2(result2, s0, s1, inputs[4], inputs[5], inputs[6], inputs[7]); + structuralMultiplexer mux1(result1, addr[0], addr[1], inputs[0], inputs[1], inputs[2], inputs[3]); + structuralMultiplexer mux2(result2, addr[0], addr[1], inputs[4], inputs[5], inputs[6], inputs[7]); - `NOTgate invS2(nS2, s2); + `NOTgate invS2(nS2, addr[2]); `ANDgate and1(andResult1, result1, nS2); - `ANDgate and2(andResult2, result2, s2); + `ANDgate and2(andResult2, result2, addr[2]); `ORgate or1(out, andResult1, andResult2); endmodule \ No newline at end of file diff --git a/alu.v b/alu.v index f52bb4e..44e950b 100644 --- a/alu.v +++ b/alu.v @@ -67,7 +67,7 @@ module ALUunit // The bitslice ALU unit `NORgate norgate(muxinput[`NOR], bitA, bitB); `NOTgate not_or_gate(muxinput[`OR], result[`NOR]); - mux3bit mux(bitR, muxindex, muxinput); + threeBitMux mux(bitR, muxindex, muxinput); endmodule From 92c30647a4abdc57f40c6e10b071259dd2511c8a Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 18:47:49 -0400 Subject: [PATCH 17/31] revise alu.v --- alu.v | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/alu.v b/alu.v index 44e950b..0913c2e 100644 --- a/alu.v +++ b/alu.v @@ -62,10 +62,10 @@ module ALUunit // The bitslice ALU unit assign muxinput[`SLT] = less; `XORgate nand_xor_gate(muxinput[`XOR], bitA, bitB); - `NOTgate not_and_gate(muxinput[`AND], result[`NAND]); + `NOTgate not_and_gate(muxinput[`AND], muxinput[`NAND]); `NANDgate nandgate(muxinput[`NAND], bitA, bitB); `NORgate norgate(muxinput[`NOR], bitA, bitB); - `NOTgate not_or_gate(muxinput[`OR], result[`NOR]); + `NOTgate not_or_gate(muxinput[`OR], muxinput[`NOR]); threeBitMux mux(bitR, muxindex, muxinput); @@ -89,7 +89,7 @@ module lastALUunit // last ALU unit, which has an ALU unit with outputs of SLT v ALUunit basic_unit(bitR, carryout, bitA, bitB, carryin, less, muxindex, invertBflag); - `XORgate overflowxorgate(overflow, result[31], carryout); + `XORgate overflowxorgate(overflow, bitR, carryout); `XORgate slt_xorgate(slt, bitR, overflow); endmodule From 7dfaecf4d162337dbbcb36ca9abab22b8ad27a9e Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 18:49:33 -0400 Subject: [PATCH 18/31] add adder --- adder.v | 30 ++++++++++++++++++++++++++++++ 1 file changed, 30 insertions(+) create mode 100644 adder.v diff --git a/adder.v b/adder.v new file mode 100644 index 0000000..c5efb4c --- /dev/null +++ b/adder.v @@ -0,0 +1,30 @@ +module fullAdder1bit +( + output sum, + output carryout, + input a, + input b, + input carryin +); + wire axorb; + wire nCarryIn; + wire notaxorb; + wire sumWire0; + wire sumWire1; + + `XORgate abxorgate(axorb, a, b); + `ANDgate andgate0(sumWire0, axorb, nCarryIn); + `NOTgate invCarryIn(nCarryIn, carryin); + `NOTgate invaxorb(notaxorb, axorb); + `ANDgate andgate1(sumWire1, carryin, notaxorb); + `ORgate orgate0(sum, sumWire0, sumWire1); + + wire aandb; + wire aorb; + wire carryOutWire; + + `ANDgate abandgate(aandb, a, b); + `ORgate orgate1(aorb, a, b); + `ANDgate andgate2(carryOutWire, carryin, aorb); + `ORgate orgate2(carryout, aandb, carryOutWire); +endmodule \ No newline at end of file From cb15fa30226480c75331256613add1dda628ed30 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 19:04:39 -0400 Subject: [PATCH 19/31] revise alu.v and alu.t.v --- alu.t.v | 129 +++++++++++++++++++++++++++++--------------------------- alu.v | 35 ++++++++++++++- 2 files changed, 99 insertions(+), 65 deletions(-) diff --git a/alu.t.v b/alu.t.v index 291e799..5705a32 100644 --- a/alu.t.v +++ b/alu.t.v @@ -1,107 +1,110 @@ `timescale 1 ns / 1 ps + `include "alu.v" -module testALU(): - reg [31:0] operandA; - reg [31:0] operandB; - reg [2:0] command; +module testALU(); + reg [31:0] a; + reg [31:0] b; + reg [2:0] c; wire [31:0] result; wire carryout; wire zero; wire overflow; - ALU alu (result, carryout, zero, overflow, operandA, operandB, command); + ALU alu (result, carryout, zero, overflow, a, b, c); initial begin - $display("testing ADD") + $display("testing ADD"); $display("operandA operandB command | result carryout zero overflow | expected outputs"); - a=32'h00000002;b=32'h00000001;c=ADD; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000011 0 0 0", result, carryout, zero, overflow); + a=32'h00000002;b=32'h00000001;c=``ADD; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000011 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=ADD; #1000 - $display("%b %b %b | %b %b %b %b | 11111111111111111111111111111110 1 0 0", result, carryout, zero, overflow); + a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=``ADD; #1000000 + $display("%h %h %h | %b %b %b %b | 11111111111111111111111111111110 1 0 0", a, b, c, result, carryout, zero, overflow); - a=32'h00000000;b=32'h00000000;c=ADD; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 1 0", result, carryout, zero, overflow); + a=32'h00000000;b=32'h00000000;c=`ADD; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 1 0", a, b, c, result, carryout, zero, overflow); - a=32'h7FFFFFFF;b=32'h00000001;c=ADD; #1000 - $display("%b %b %b | %b %b %b %b | 10000000000000000000000000000000 0 0 1", result, carryout, zero, overflow); + a=32'h7FFFFFFF;b=32'h00000001;c=`ADD; #1000000 + $display("%h %h %h | %b %b %b %b | 10000000000000000000000000000000 0 0 1", a, b, c, result, carryout, zero, overflow); - $display("testing SUB") + $display("testing SUB"); - a=32'h00000003;b=32'h00000001;c=SUB; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000010 0 0 0", result, carryout, zero, overflow); + a=32'h00000003;b=32'h00000001;c=`SUB; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000010 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'h80000000;b=32'h00000001;c=SUB; #1000 - $display("%b %b %b | %b %b %b %b | 01111111111111111111111111111111 1 0 1", result, carryout, zero, overflow); + a=32'h80000000;b=32'h00000001;c=`SUB; #1000000 + $display("%h %h %h | %b %b %b %b | 01111111111111111111111111111111 1 0 1", a, b, c, result, carryout, zero, overflow); - a=32'h00000000;b=32'h00000000;c=SUB; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 1 0", result, carryout, zero, overflow); + a=32'h00000000;b=32'h00000000;c=`SUB; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 1 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=SUB; #1000 - $display("%b %b %b | %b %b %b %b | 32'h00000000 1 1 0", result, carryout, zero, overflow); + a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=`SUB; #1000000 + $display("%h %h %h | %b %b %b %b | 32'h00000000 1 1 0", a, b, c, result, carryout, zero, overflow); - $display("testing XOR") + $display("testing XOR"); - a=32'hAA550055;b=32'hAAFF55AA;c=XOR; #1000 - $display("%b %b %b | %b %b %b %b | 00000000101010100101010111111111 0 0 0", result, carryout, zero, overflow); + a=32'hAA550055;b=32'hAAFF55AA;c=`XOR; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000101010100101010111111111 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFF0000;b=32'h00FF00FF;c=XOR; #1000 - $display("%b %b %b | %b %b %b %b | 11111111000000000000000011111111 0 0 0", result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=`XOR; #1000000 + $display("%h %h %h | %b %b %b %b | 11111111000000000000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); - $display("testing SLT") + $display("testing SLT"); - a=32'h555555AA;b=32'h55AA55AA;c=SLT; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000001 0 0 0", result, carryout, zero, overflow); + a=32'h555555AA;b=32'h55AA55AA;c=`SLT; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'h555555AA;b=32'h555555AA;c=SLT; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 0 0", result, carryout, zero, overflow); + a=32'h555555AA;b=32'h555555AA;c=`SLT; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'h00FF00FF;b=32'hFF00FF00;c=SLT; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 0 0", result, carryout, zero, overflow); + a=32'h00FF00FF;b=32'hFF00FF00;c=`SLT; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFFFF00;b=32'h0000FFFF;c=SLT; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000001 0 0 0", result, carryout, zero, overflow); + a=32'hFFFFFF00;b=32'h0000FFFF;c=`SLT; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hAAAA55AA;b=32'hAA5555AA;c=SLT; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000001 0 0 0", result, carryout, zero, overflow); + a=32'hAAAA55AA;b=32'hAA5555AA;c=`SLT; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFF55FF00;b=32'hFFFF5500;c=SLT; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000000 0 0 0", result, carryout, zero, overflow); + a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFF55FF00;b=32'hFFFF5500;c=SLT; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000000000000000001 0 0 0", result, carryout, zero, overflow); + a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); - $display("testing AND") + $display("testing AND"); - a=32'hFFFF0000;b=32'h00FF00FF;c=AND; #1000 - $display("%b %b %b | %b %b %b %b | 00000000111111110000000000000000 0 0 0", result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=`AND; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000111111110000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFF00AA55;b=32'hAAAA55AA;c=AND; #1000 - $display("%b %b %b | %b %b %b %b | 10101010000000000000000000000000 0 0 0", result, carryout, zero, overflow); + a=32'hFF00AA55;b=32'hAAAA55AA;c=`AND; #1000000 + $display("%h %h %h | %b %b %b %b | 10101010000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); - $display("testing NAND") + $display("testing NAND"); - a=32'hFFFF0000;b=32'h00FF00FF;c=NAND; #1000 - $display("%b %b %b | %b %b %b %b | 11111111000000001111111111111111 0 0 0", result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=`NAND; #1000000 + $display("%h %h %h | %b %b %b %b | 11111111000000001111111111111111 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFF00AA55;b=32'hAAAA55AA;c=NAND; #1000 - $display("%b %b %b | %b %b %b %b | 01010101111111111111111111111111 0 0 0", result, carryout, zero, overflow); + a=32'hFF00AA55;b=32'hAAAA55AA;c=`NAND; #1000000 + $display("%h %h %h | %b %b %b %b | 01010101111111111111111111111111 0 0 0", a, b, c, result, carryout, zero, overflow); - $display("testing NOR") + $display("testing NOR"); - a=32'h55550055;b=32'hAAFF55AA;c=NOR; #1000 - $display("%b %b %b | %b %b %b %b | 00000000000000000101010100000000 0 0 0", result, carryout, zero, overflow); + a=32'h55550055;b=32'hAAFF55AA;c=`NOR; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000000000000101010100000000 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFF0000;b=32'h00FF00FF;c=NOR; #1000 - $display("%b %b %b | %b %b %b %b | 00000000111111110000000000000000 0 0 0", result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=`NOR; #1000000 + $display("%h %h %h | %b %b %b %b | 00000000111111110000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); - $display("testing OR") + $display("testing OR"); - a=32'h55FFAA00;b=32'hAAAA55AA;c=OR; #1000 - $display("%b %b %b | %b %b %b %b | 11111111111111111111111110101010 0 0 0", result, carryout, zero, overflow); + a=32'h55FFAA00;b=32'hAAAA55AA;c=`OR; #1000000 + $display("%h %h %h | %b %b %b %b | 11111111111111111111111110101010 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFF0000;b=32'h00FF00FF;c=OR; #1000 - $display("%b %b %b | %b %b %b %b | 11111111111111110000000011111111 0 0 0", result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=`OR; #1000000 + $display("%h %h %h | %b %b %b %b | 11111111111111110000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); + end +endmodule \ No newline at end of file diff --git a/alu.v b/alu.v index 0913c2e..e764611 100644 --- a/alu.v +++ b/alu.v @@ -117,6 +117,7 @@ module ALU // total ALU which has 32 basic ALU units and control unit. result[0], result[1], // output: result, carryout operandA[0], operandB[0], invertBflag, set_SLT, muxindex, invertBflag ); + generate // 2nd to 31st adder instantiation genvar i; for(i=1; i<31; i=i+1) begin: generate_alu_unit @@ -132,9 +133,39 @@ module ALU // total ALU which has 32 basic ALU units and control unit. ); end endgenerate - lastALUunit lastunit( + /*ALUunit unit1(result[1],result[2],operandA[1],operandB[1],result[0],0,muxindex,invertBflag); + ALUunit unit1(result[2],result[3],operandA[2],operandB[2],result[1],0,muxindex,invertBflag); + ALUunit unit1(result[3],result[4],operandA[3],operandB[3],result[2],0,muxindex,invertBflag); + ALUunit unit1(result[4],result[5],operandA[4],operandB[4],result[3],0,muxindex,invertBflag); + ALUunit unit1(result[5],result[6],operandA[5],operandB[5],result[4],0,muxindex,invertBflag); + ALUunit unit1(result[6],result[7],operandA[6],operandB[6],result[5],0,muxindex,invertBflag); + ALUunit unit1(result[7],result[8],operandA[7],operandB[7],result[6],0,muxindex,invertBflag); + ALUunit unit1(result[8],result[9],operandA[8],operandB[8],result[7],0,muxindex,invertBflag); + ALUunit unit1(result[9],result[10],operandA[9],operandB[9],result[8],0,muxindex,invertBflag); + ALUunit unit1(result[10],result[11],operandA[10],operandB[10],result[9],0,muxindex,invertBflag); + ALUunit unit1(result[11],result[12],operandA[11],operandB[11],result[10],0,muxindex,invertBflag); + ALUunit unit1(result[12],result[13],operandA[12],operandB[12],result[11],0,muxindex,invertBflag); + ALUunit unit1(result[13],result[14],operandA[13],operandB[13],result[12],0,muxindex,invertBflag); + ALUunit unit1(result[14],result[15],operandA[14],operandB[14],result[13],0,muxindex,invertBflag); + ALUunit unit1(result[15],result[16],operandA[15],operandB[15],result[14],0,muxindex,invertBflag); + ALUunit unit1(result[16],result[17],operandA[16],operandB[16],result[15],0,muxindex,invertBflag); + ALUunit unit1(result[17],result[18],operandA[17],operandB[17],result[16],0,muxindex,invertBflag); + ALUunit unit1(result[18],result[19],operandA[18],operandB[18],result[17],0,muxindex,invertBflag); + ALUunit unit1(result[19],result[20],operandA[19],operandB[19],result[18],0,muxindex,invertBflag); + ALUunit unit1(result[20],result[21],operandA[20],operandB[20],result[19],0,muxindex,invertBflag); + ALUunit unit1(result[21],result[22],operandA[21],operandB[1],result[0],0,muxindex,invertBflag); + ALUunit unit1(result[22],result[23],operandA[22],operandB[1],result[0],0,muxindex,invertBflag); + ALUunit unit1(result[23],result[24],operandA[23],operandB[1],result[0],0,muxindex,invertBflag); + ALUunit unit1(result[24],result[25],operandA[24],operandB[1],result[0],0,muxindex,invertBflag); + ALUunit unit1(result[25],result[26],operandA[25],operandB[1],result[0],0,muxindex,invertBflag); + ALUunit unit1(result[26],result[27],operandA[26],operandB[1],result[0],0,muxindex,invertBflag); + ALUunit unit1(result[27],result[28],operandA[27],operandB[1],result[0],0,muxindex,invertBflag); + ALUunit unit1(result[28],result[29],operandA[28],operandB[1],result[0],0,muxindex,invertBflag); + ALUunit unit1(result[29],result[30],operandA[29],operandB[1],result[0],0,muxindex,invertBflag); + ALUunit unit1(result[30],result[31],operandA[30],operandB[1],result[0],0,muxindex,invertBflag); +*/ lastALUunit lastunit( result[31], carryout, // output: result, carryout - overflow, set_SLT, operandA[31], operandB[i], result[30], 0, muxindex, invertBflag + overflow, set_SLT, operandA[31], operandB[31], result[30], 0, muxindex, invertBflag ); endmodule \ No newline at end of file From 0fee378cc680ced0658ad4dc41972d0380ece46e Mon Sep 17 00:00:00 2001 From: Sung Park Date: Thu, 12 Oct 2017 19:50:21 -0400 Subject: [PATCH 20/31] add bitslice alu test benches --- bitAlu.t.v | 18 ++++++++++++++++++ 1 file changed, 18 insertions(+) create mode 100644 bitAlu.t.v diff --git a/bitAlu.t.v b/bitAlu.t.v new file mode 100644 index 0000000..9468841 --- /dev/null +++ b/bitAlu.t.v @@ -0,0 +1,18 @@ +`timescale 1 ns / 1 ps +`include "alu.v" + +module testALUunit (); + reg bitA, bitB, carryin, less, invertBFlag; + reg[2:0] muxIndex; + wire bitR; + wire carryout; + + ALUunit alu (bitR, carryout, bitA, bitB, carryin, less, muxIndex, invertBFlag); + + initial begin + $display("bitA bitB carryin less muxIndex invertBFlag | bitR carryout"); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + end +endmodule From 1223fc9b989b84712c814ffdf39248f409b61171 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 19:50:56 -0400 Subject: [PATCH 21/31] change output text --- alu.t.v | 52 ++++++++++++++++++++++++++-------------------------- alu.v | 32 +------------------------------- 2 files changed, 27 insertions(+), 57 deletions(-) diff --git a/alu.t.v b/alu.t.v index 5705a32..314d19a 100644 --- a/alu.t.v +++ b/alu.t.v @@ -16,95 +16,95 @@ module testALU(); initial begin $display("testing ADD"); - $display("operandA operandB command | result carryout zero overflow | expected outputs"); + $display("operandA operandB command | result carryout zero overflow| expected outputs"); a=32'h00000002;b=32'h00000001;c=``ADD; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000011 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000011 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=``ADD; #1000000 - $display("%h %h %h | %b %b %b %b | 11111111111111111111111111111110 1 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 11111111111111111111111111111110 1 0 0", a, b, c, result, carryout, zero, overflow); a=32'h00000000;b=32'h00000000;c=`ADD; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 1 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 1 0", a, b, c, result, carryout, zero, overflow); a=32'h7FFFFFFF;b=32'h00000001;c=`ADD; #1000000 - $display("%h %h %h | %b %b %b %b | 10000000000000000000000000000000 0 0 1", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 10000000000000000000000000000000 0 0 1", a, b, c, result, carryout, zero, overflow); $display("testing SUB"); a=32'h00000003;b=32'h00000001;c=`SUB; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000010 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000010 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'h80000000;b=32'h00000001;c=`SUB; #1000000 - $display("%h %h %h | %b %b %b %b | 01111111111111111111111111111111 1 0 1", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 01111111111111111111111111111111 1 0 1", a, b, c, result, carryout, zero, overflow); a=32'h00000000;b=32'h00000000;c=`SUB; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 1 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 1 0", a, b, c, result, carryout, zero, overflow); a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=`SUB; #1000000 - $display("%h %h %h | %b %b %b %b | 32'h00000000 1 1 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 1 1 0", a, b, c, result, carryout, zero, overflow); $display("testing XOR"); a=32'hAA550055;b=32'hAAFF55AA;c=`XOR; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000101010100101010111111111 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000101010100101010111111111 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hFFFF0000;b=32'h00FF00FF;c=`XOR; #1000000 - $display("%h %h %h | %b %b %b %b | 11111111000000000000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 11111111000000000000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); $display("testing SLT"); a=32'h555555AA;b=32'h55AA55AA;c=`SLT; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'h555555AA;b=32'h555555AA;c=`SLT; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'h00FF00FF;b=32'hFF00FF00;c=`SLT; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hFFFFFF00;b=32'h0000FFFF;c=`SLT; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hAAAA55AA;b=32'hAA5555AA;c=`SLT; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); $display("testing AND"); a=32'hFFFF0000;b=32'h00FF00FF;c=`AND; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000111111110000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000111111110000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hFF00AA55;b=32'hAAAA55AA;c=`AND; #1000000 - $display("%h %h %h | %b %b %b %b | 10101010000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 10101010000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); $display("testing NAND"); a=32'hFFFF0000;b=32'h00FF00FF;c=`NAND; #1000000 - $display("%h %h %h | %b %b %b %b | 11111111000000001111111111111111 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 11111111000000001111111111111111 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hFF00AA55;b=32'hAAAA55AA;c=`NAND; #1000000 - $display("%h %h %h | %b %b %b %b | 01010101111111111111111111111111 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 01010101111111111111111111111111 0 0 0", a, b, c, result, carryout, zero, overflow); $display("testing NOR"); a=32'h55550055;b=32'hAAFF55AA;c=`NOR; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000000000000101010100000000 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000101010100000000 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hFFFF0000;b=32'h00FF00FF;c=`NOR; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000111111110000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000111111110000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); $display("testing OR"); a=32'h55FFAA00;b=32'hAAAA55AA;c=`OR; #1000000 - $display("%h %h %h | %b %b %b %b | 11111111111111111111111110101010 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 11111111111111111111111110101010 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hFFFF0000;b=32'h00FF00FF;c=`OR; #1000000 - $display("%h %h %h | %b %b %b %b | 11111111111111110000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 11111111111111110000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); end endmodule \ No newline at end of file diff --git a/alu.v b/alu.v index e764611..2c155cf 100644 --- a/alu.v +++ b/alu.v @@ -133,37 +133,7 @@ module ALU // total ALU which has 32 basic ALU units and control unit. ); end endgenerate - /*ALUunit unit1(result[1],result[2],operandA[1],operandB[1],result[0],0,muxindex,invertBflag); - ALUunit unit1(result[2],result[3],operandA[2],operandB[2],result[1],0,muxindex,invertBflag); - ALUunit unit1(result[3],result[4],operandA[3],operandB[3],result[2],0,muxindex,invertBflag); - ALUunit unit1(result[4],result[5],operandA[4],operandB[4],result[3],0,muxindex,invertBflag); - ALUunit unit1(result[5],result[6],operandA[5],operandB[5],result[4],0,muxindex,invertBflag); - ALUunit unit1(result[6],result[7],operandA[6],operandB[6],result[5],0,muxindex,invertBflag); - ALUunit unit1(result[7],result[8],operandA[7],operandB[7],result[6],0,muxindex,invertBflag); - ALUunit unit1(result[8],result[9],operandA[8],operandB[8],result[7],0,muxindex,invertBflag); - ALUunit unit1(result[9],result[10],operandA[9],operandB[9],result[8],0,muxindex,invertBflag); - ALUunit unit1(result[10],result[11],operandA[10],operandB[10],result[9],0,muxindex,invertBflag); - ALUunit unit1(result[11],result[12],operandA[11],operandB[11],result[10],0,muxindex,invertBflag); - ALUunit unit1(result[12],result[13],operandA[12],operandB[12],result[11],0,muxindex,invertBflag); - ALUunit unit1(result[13],result[14],operandA[13],operandB[13],result[12],0,muxindex,invertBflag); - ALUunit unit1(result[14],result[15],operandA[14],operandB[14],result[13],0,muxindex,invertBflag); - ALUunit unit1(result[15],result[16],operandA[15],operandB[15],result[14],0,muxindex,invertBflag); - ALUunit unit1(result[16],result[17],operandA[16],operandB[16],result[15],0,muxindex,invertBflag); - ALUunit unit1(result[17],result[18],operandA[17],operandB[17],result[16],0,muxindex,invertBflag); - ALUunit unit1(result[18],result[19],operandA[18],operandB[18],result[17],0,muxindex,invertBflag); - ALUunit unit1(result[19],result[20],operandA[19],operandB[19],result[18],0,muxindex,invertBflag); - ALUunit unit1(result[20],result[21],operandA[20],operandB[20],result[19],0,muxindex,invertBflag); - ALUunit unit1(result[21],result[22],operandA[21],operandB[1],result[0],0,muxindex,invertBflag); - ALUunit unit1(result[22],result[23],operandA[22],operandB[1],result[0],0,muxindex,invertBflag); - ALUunit unit1(result[23],result[24],operandA[23],operandB[1],result[0],0,muxindex,invertBflag); - ALUunit unit1(result[24],result[25],operandA[24],operandB[1],result[0],0,muxindex,invertBflag); - ALUunit unit1(result[25],result[26],operandA[25],operandB[1],result[0],0,muxindex,invertBflag); - ALUunit unit1(result[26],result[27],operandA[26],operandB[1],result[0],0,muxindex,invertBflag); - ALUunit unit1(result[27],result[28],operandA[27],operandB[1],result[0],0,muxindex,invertBflag); - ALUunit unit1(result[28],result[29],operandA[28],operandB[1],result[0],0,muxindex,invertBflag); - ALUunit unit1(result[29],result[30],operandA[29],operandB[1],result[0],0,muxindex,invertBflag); - ALUunit unit1(result[30],result[31],operandA[30],operandB[1],result[0],0,muxindex,invertBflag); -*/ lastALUunit lastunit( + lastALUunit lastunit( result[31], carryout, // output: result, carryout overflow, set_SLT, operandA[31], operandB[31], result[30], 0, muxindex, invertBflag ); From 1baf3df88ec4e2ebfcc18bc44f6cc500b56ac630 Mon Sep 17 00:00:00 2001 From: Sung Park Date: Thu, 12 Oct 2017 20:16:06 -0400 Subject: [PATCH 22/31] Complete bitslice alu test benches --- bitAlu.t.v | 88 +++++++++++++++++++++++++++++++++++++++++++++++++++++- 1 file changed, 87 insertions(+), 1 deletion(-) diff --git a/bitAlu.t.v b/bitAlu.t.v index 9468841..63e8104 100644 --- a/bitAlu.t.v +++ b/bitAlu.t.v @@ -10,9 +10,95 @@ module testALUunit (); ALUunit alu (bitR, carryout, bitA, bitB, carryin, less, muxIndex, invertBFlag); initial begin + $display("testing ADD"); $display("bitA bitB carryin less muxIndex invertBFlag | bitR carryout"); - bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=0;carryin=1;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=1;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=1;less=0;muxIndex=3'd0;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing SUB"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=0;carryin=1;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=1;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=1;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=1;less=0;muxIndex=3'd0;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing XOR"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd2;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd2;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd2;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd2;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing SLT"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd3;invertBFlag=1; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=0;carryin=0;less=1;muxIndex=3'd3;invertBFlag=1; #10000 $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + $display("testing AND"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing NAND"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd5;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd5;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd5;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd5;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing NOR"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd6;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd6;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd6;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd6;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + + $display("testing OR"); + bitA=0;bitB=0;carryin=0;less=0;muxIndex=3'd7;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd7;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=0;bitB=1;carryin=0;less=0;muxIndex=3'd7;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=3'd7;invertBFlag=0; #10000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); end endmodule From f85b2a55ba0ba9b7d005d313e763ea1c6bdf4060 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 20:42:44 -0400 Subject: [PATCH 23/31] revise alu.v --- 3bitMux.t.v | 33 ++++++++++++++++++++------------- alu.t.v | 52 ++++++++++++++++++++++++++-------------------------- alu.v | 21 +++++++++++---------- bitAlu.t.v | 6 ++++-- report.md | 48 +++++++++++++++++++++++++++++++++++++++++++++++- 5 files changed, 108 insertions(+), 52 deletions(-) diff --git a/3bitMux.t.v b/3bitMux.t.v index f8d7c62..6b23ed6 100644 --- a/3bitMux.t.v +++ b/3bitMux.t.v @@ -1,24 +1,31 @@ +`define NANDgate nand #320 +`define ANDgate and #330 +`define NORgate nor #320 +`define ORgate or #330 +`define NOTgate not #10 +`define XORgate xor #650 + `timescale 1 ns / 1 ps `include "3bitMux.v" module test3BitMux (); - reg s0, s1, s2; + reg[2:0] addr; reg[7:0] inputs; wire out; - threeBitMux mux (out, s0, s1, s2, inputs); + threeBitMux mux (out, addr, inputs); initial begin - $display("s0 s1 s2 inputs | Output"); - s0=0;s1=0;s2=0;inputs=8'b00010000; #1000 - $display("%b %b %b %b | %b", s0, s1, s2, inputs, out); - s0=0;s1=0;s2=0;inputs=8'b10000001; #1000 - $display("%b %b %b %b | %b", s0, s1, s2, inputs, out); - s0=0;s1=0;s2=0;inputs=8'b00000000; #1000 - $display("%b %b %b %b | %b", s0, s1, s2, inputs, out); - s0=0;s1=1;s2=1;inputs=8'b01000001; #1000 - $display("%b %b %b %b | %b", s0, s1, s2, inputs, out); - s0=0;s1=1;s2=0;inputs=8'b00001101; #1000 - $display("%b %b %b %b | %b", s0, s1, s2, inputs, out); + $display("addr inputs | Output"); + addr=3`b000;inputs=8'b00010000; #1000 + $display("%b %b | %b", addr, inputs, out); + addr=3`b000;inputs=8'b10000001; #1000 + $display("%b %b | %b", addr, inputs, out); + addr=3`b000;inputs=8'b00000000; #1000 + $display("%b %b | %b", addr, inputs, out); + addr=3`b011;inputs=8'b01000001; #1000 + $display("%b %b | %b", addr, inputs, out); + addr=3`b010;inputs=8'b00001101; #1000 + $display("%b %b | %b", addr, inputs, out); end endmodule diff --git a/alu.t.v b/alu.t.v index 314d19a..e05c1a3 100644 --- a/alu.t.v +++ b/alu.t.v @@ -18,93 +18,93 @@ module testALU(); $display("operandA operandB command | result carryout zero overflow| expected outputs"); - a=32'h00000002;b=32'h00000001;c=``ADD; #1000000 + a=32'h00000002;b=32'h00000001;c=``ADD; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000011 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=``ADD; #1000000 + a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=``ADD; #2000 $display("%h %h %h | %b %b %b %b | 11111111111111111111111111111110 1 0 0", a, b, c, result, carryout, zero, overflow); - a=32'h00000000;b=32'h00000000;c=`ADD; #1000000 + a=32'h00000000;b=32'h00000000;c=`ADD; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 1 0", a, b, c, result, carryout, zero, overflow); - a=32'h7FFFFFFF;b=32'h00000001;c=`ADD; #1000000 + a=32'h7FFFFFFF;b=32'h00000001;c=`ADD; #2000 $display("%h %h %h | %b %b %b %b | 10000000000000000000000000000000 0 0 1", a, b, c, result, carryout, zero, overflow); $display("testing SUB"); - a=32'h00000003;b=32'h00000001;c=`SUB; #1000000 + a=32'h00000003;b=32'h00000001;c=`SUB; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000010 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'h80000000;b=32'h00000001;c=`SUB; #1000000 + a=32'h80000000;b=32'h00000001;c=`SUB; #2000 $display("%h %h %h | %b %b %b %b | 01111111111111111111111111111111 1 0 1", a, b, c, result, carryout, zero, overflow); - a=32'h00000000;b=32'h00000000;c=`SUB; #1000000 + a=32'h00000000;b=32'h00000000;c=`SUB; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 1 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=`SUB; #1000000 + a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=`SUB; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 1 1 0", a, b, c, result, carryout, zero, overflow); $display("testing XOR"); - a=32'hAA550055;b=32'hAAFF55AA;c=`XOR; #1000000 + a=32'hAA550055;b=32'hAAFF55AA;c=`XOR; #2000 $display("%h %h %h | %b %b %b %b | 00000000101010100101010111111111 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFF0000;b=32'h00FF00FF;c=`XOR; #1000000 + a=32'hFFFF0000;b=32'h00FF00FF;c=`XOR; #2000 $display("%h %h %h | %b %b %b %b | 11111111000000000000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); $display("testing SLT"); - a=32'h555555AA;b=32'h55AA55AA;c=`SLT; #1000000 + a=32'h555555AA;b=32'h55AA55AA;c=`SLT; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'h555555AA;b=32'h555555AA;c=`SLT; #1000000 + a=32'h555555AA;b=32'h555555AA;c=`SLT; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'h00FF00FF;b=32'hFF00FF00;c=`SLT; #1000000 + a=32'h00FF00FF;b=32'hFF00FF00;c=`SLT; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFFFF00;b=32'h0000FFFF;c=`SLT; #1000000 + a=32'hFFFFFF00;b=32'h0000FFFF;c=`SLT; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hAAAA55AA;b=32'hAA5555AA;c=`SLT; #1000000 + a=32'hAAAA55AA;b=32'hAA5555AA;c=`SLT; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #1000000 + a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #1000000 + a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); $display("testing AND"); - a=32'hFFFF0000;b=32'h00FF00FF;c=`AND; #1000000 + a=32'hFFFF0000;b=32'h00FF00FF;c=`AND; #2000 $display("%h %h %h | %b %b %b %b | 00000000111111110000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFF00AA55;b=32'hAAAA55AA;c=`AND; #1000000 + a=32'hFF00AA55;b=32'hAAAA55AA;c=`AND; #2000 $display("%h %h %h | %b %b %b %b | 10101010000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); $display("testing NAND"); - a=32'hFFFF0000;b=32'h00FF00FF;c=`NAND; #1000000 + a=32'hFFFF0000;b=32'h00FF00FF;c=`NAND; #2000 $display("%h %h %h | %b %b %b %b | 11111111000000001111111111111111 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFF00AA55;b=32'hAAAA55AA;c=`NAND; #1000000 + a=32'hFF00AA55;b=32'hAAAA55AA;c=`NAND; #2000 $display("%h %h %h | %b %b %b %b | 01010101111111111111111111111111 0 0 0", a, b, c, result, carryout, zero, overflow); $display("testing NOR"); - a=32'h55550055;b=32'hAAFF55AA;c=`NOR; #1000000 + a=32'h55550055;b=32'hAAFF55AA;c=`NOR; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000101010100000000 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFF0000;b=32'h00FF00FF;c=`NOR; #1000000 - $display("%h %h %h | %b %b %b %b | 00000000111111110000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); + a=32'hFFFF0000;b=32'h00FF00FF;c=`NOR; #2000 + $display("%h %h %h | %b %b %b %b | 00000000000000001111111100000000 0 0 0", a, b, c, result, carryout, zero, overflow); $display("testing OR"); - a=32'h55FFAA00;b=32'hAAAA55AA;c=`OR; #1000000 + a=32'h55FFAA00;b=32'hAAAA55AA;c=`OR; #2000 $display("%h %h %h | %b %b %b %b | 11111111111111111111111110101010 0 0 0", a, b, c, result, carryout, zero, overflow); - a=32'hFFFF0000;b=32'h00FF00FF;c=`OR; #1000000 + a=32'hFFFF0000;b=32'h00FF00FF;c=`OR; #2000 $display("%h %h %h | %b %b %b %b | 11111111111111110000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); end endmodule \ No newline at end of file diff --git a/alu.v b/alu.v index 2c155cf..c7b7bf8 100644 --- a/alu.v +++ b/alu.v @@ -1,9 +1,9 @@ -`define NANDgate nand #320 -`define ANDgate and #330 -`define NORgate nor #320 -`define ORgate or #330 +`define NANDgate nand #10 +`define ANDgate and #20 +`define NORgate nor #10 +`define ORgate or #20 `define NOTgate not #10 -`define XORgate xor #650 +`define XORgate xor #30 `define ADD 3'd0 `define SUB 3'd1 @@ -98,7 +98,7 @@ endmodule module ALU // total ALU which has 32 basic ALU units and control unit. ( output[31:0] result, - output carryout, + output carryout, output zero, output overflow, @@ -107,6 +107,7 @@ module ALU // total ALU which has 32 basic ALU units and control unit. input[2:0] command ); + wire[30:0] internal_carryout; //carryout of each ALU unit except the last one wire[2:0] muxindex; //input address of MUX for every ALU unit wire invertBflag;//invertB flag input for every ALU unit wire set_SLT; //less input for the first ALU unit @@ -114,7 +115,7 @@ module ALU // total ALU which has 32 basic ALU units and control unit. ALUcontrolLUT controlLUT(muxindex, invertBflag, command); ALUunit firstunit( - result[0], result[1], // output: result, carryout + result[0], internal_carryout[0], // output: result, carryout operandA[0], operandB[0], invertBflag, set_SLT, muxindex, invertBflag ); @@ -123,10 +124,10 @@ module ALU // total ALU which has 32 basic ALU units and control unit. for(i=1; i<31; i=i+1) begin: generate_alu_unit ALUunit unit( result[i], - result[i+1],//carryout + internal_carryout[i],//carryout operandA[i], operandB[i], - result[i-1],//carryin + internal_carryout[i-1],//carryin 0, //result for SLT command muxindex, invertBflag @@ -135,7 +136,7 @@ module ALU // total ALU which has 32 basic ALU units and control unit. endgenerate lastALUunit lastunit( result[31], carryout, // output: result, carryout - overflow, set_SLT, operandA[31], operandB[31], result[30], 0, muxindex, invertBflag + overflow, set_SLT, operandA[31], operandB[31], internal_carryout[30], 0, muxindex, invertBflag ); endmodule \ No newline at end of file diff --git a/bitAlu.t.v b/bitAlu.t.v index 9468841..00dcf3c 100644 --- a/bitAlu.t.v +++ b/bitAlu.t.v @@ -11,8 +11,10 @@ module testALUunit (); initial begin $display("bitA bitB carryin less muxIndex invertBFlag | bitR carryout"); - bitA=1;bitB=0;carryin=0;less=0;muxIndex=3'd4;invertBFlag=0; - $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=1;carryin=0;less=0;muxIndex=`AND;invertBFlag=0; #3000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); + bitA=1;bitB=0;carryin=0;less=0;muxIndex=`AND;invertBFlag=0; #3000 + $display("%b %b %b %b %b %b | %b %b", bitA, bitB, carryin, less, muxIndex, invertBFlag, bitR, carryout); end endmodule diff --git a/report.md b/report.md index 4b6637f..e8d2aca 100644 --- a/report.md +++ b/report.md @@ -14,12 +14,58 @@ For bitwise logic operations(XOR, AND, NAND, NOR, OR), we choose 2 cases and set + + +## error case + +example 1) +testing ADD +operandA operandB command | result carryout zero overflow| expected outputs +00000002 00000001 0 | xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx01 0 z x | 00000000000000000000000000000011 0 0 0 +ffffffff ffffffff 0 | xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx0 1 z x | 11111111111111111111111111111110 1 0 0 +00000000 00000000 0 | 00000000000000000000000000000000 0 z 0 | 00000000000000000000000000000000 0 1 0 +7fffffff 00000001 0 | x0101010101010101010101010101010 0 z 0 | 10000000000000000000000000000000 0 0 1 +testing SUB +00000003 00000001 1 | xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx0 x z x | 00000000000000000000000000000010 0 0 0 +80000000 00000001 1 | x1010101010101010101010101010101 1 z 0 | 01111111111111111111111111111111 1 0 1 +00000000 00000000 1 | 10101010101010101010101010101010 0 z 1 | 00000000000000000000000000000000 0 1 0 +ffffffff ffffffff 1 | 10101010101010101010101010101010 0 z 1 | 00000000000000000000000000000000 1 1 0 +testing XOR +aa550055 aaff55aa 2 | 0x0x0x0010101010xxxxxxx1x1x1x1x1 1 z 1 | 00000000101010100101010111111111 0 0 0 +ffff0000 00ff00ff 2 | x1x1x1x1xxxxxxx00000000xx1x1x1x1 1 z 0 | 11111111000000000000000011111111 0 0 0 +testing SLT +555555aa 55aa55aa 3 | x0x0x0x0x0x0x0x0x0x0x0x0x0x0x0x0 0 z 0 | 00000000000000000000000000000001 0 0 0 +555555aa 555555aa 3 | x0x0x0x0x0x0x0x0x0x0x0x0x0x0x0x0 0 z 0 | 00000000000000000000000000000000 0 0 0 +00ff00ff ff00ff00 3 | 0000000xxxxxxxx00000000xxxxxxxx0 0 z 0 | 00000000000000000000000000000000 0 0 0 +ffffff00 0000ffff 3 | xxxxxxxxxxxxxxx00000000000000001 1 z 1 | 00000000000000000000000000000001 0 0 0 +aaaa55aa aa5555aa 3 | 0x0x0x0x0x0x0x0xxxxxxxxxxxxxxxxx x z x | 00000000000000000000000000000001 0 0 0 +ff55ff00 ffff5500 3 | x0x0x0x0x0x0x0xxxxxxxxx0x0x0x0x0 0 z 0 | 00000000000000000000000000000000 0 0 0 +testing AND +ffff0000 00ff00ff 4 | xxxxxxxx1111111x0000000000000000 x z x | 00000000111111110000000000000000 0 0 0 +ff00aa55 aaaa55aa 4 | xxxxxxx0000000000000000000000000 1 z 0 | 10101010000000000000000000000000 0 0 0 +testing NAND +ffff0000 00ff00ff 5 | x1x1x1x1xxxxxxx0xxxxxxx1x1x1x1x1 1 z 0 | 11111111000000001111111111111111 0 0 0 +ff00aa55 aaaa55aa 5 | x1x1x1x1x1x1x1x1x1x1x1x1x1x1x1x1 1 z 1 | 01010101111111111111111111111111 0 0 0 +testing NOR +55550055 aaff55aa 6 | x0x0x0x0x0x0x0x0x0x0x0x000000000 0 z 0 | 00000000000000000101010100000000 0 0 0 +ffff0000 00ff00ff 6 | xxxxxxxxxxxxxxx0xxxxxxxx00000000 x z x | 00000000111111110000000000000000 0 0 0 +testing OR +55ffaa00 aaaa55aa 7 | x1x1x1x1x1x1x1xxxxxxxxxxx0x0x0x0 1 z 0 | 11111111111111111111111110101010 0 0 0 +ffff0000 00ff00ff 7 | 111111111111111x0000000xx1x1x1x1 1 z 0 | 11111111111111110000000011111111 0 0 0 + +connect result bit to carryin/carryout part + + + + Work Plan Reflection testbench design ~10/10 2hours alu design ~10/10 0.5hours ~10/11 2hours +~10/12 0.5hours -revise code ~10/11 0.5hours \ No newline at end of file +revise code ~10/11 0.5hours +~10/12 2.5hours \ No newline at end of file From e130349c5740de7032326fc4e1e48c59e116c4fe Mon Sep 17 00:00:00 2001 From: Sung Park Date: Thu, 12 Oct 2017 21:35:37 -0400 Subject: [PATCH 24/31] edit 3 bit mux test files --- 3bitMux.t.v | 20 ++++++++++---------- 1 file changed, 10 insertions(+), 10 deletions(-) diff --git a/3bitMux.t.v b/3bitMux.t.v index 6b23ed6..ac7e261 100644 --- a/3bitMux.t.v +++ b/3bitMux.t.v @@ -1,9 +1,9 @@ -`define NANDgate nand #320 -`define ANDgate and #330 -`define NORgate nor #320 -`define ORgate or #330 +`define NANDgate nand #10 +`define ANDgate and #20 +`define NORgate nor #10 +`define ORgate or #20 `define NOTgate not #10 -`define XORgate xor #650 +`define XORgate xor #30 `timescale 1 ns / 1 ps `include "3bitMux.v" @@ -17,15 +17,15 @@ module test3BitMux (); initial begin $display("addr inputs | Output"); - addr=3`b000;inputs=8'b00010000; #1000 + addr=3'b000;inputs=8'b00010000; #1000 $display("%b %b | %b", addr, inputs, out); - addr=3`b000;inputs=8'b10000001; #1000 + addr=3'b000;inputs=8'b10000001; #1000 $display("%b %b | %b", addr, inputs, out); - addr=3`b000;inputs=8'b00000000; #1000 + addr=3'b000;inputs=8'b00000000; #1000 $display("%b %b | %b", addr, inputs, out); - addr=3`b011;inputs=8'b01000001; #1000 + addr=3'b011;inputs=8'b01000001; #1000 $display("%b %b | %b", addr, inputs, out); - addr=3`b010;inputs=8'b00001101; #1000 + addr=3'b010;inputs=8'b00001101; #1000 $display("%b %b | %b", addr, inputs, out); end endmodule From 7681c3c01ba9115eaca571dae8a35a278ccd3ccf Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 21:59:13 -0400 Subject: [PATCH 25/31] add zero flag to alu.v --- alu.t.v | 10 +++++----- alu.v | 29 ++++++++++++++++++++++++----- 2 files changed, 29 insertions(+), 10 deletions(-) diff --git a/alu.t.v b/alu.t.v index e05c1a3..3b7a767 100644 --- a/alu.t.v +++ b/alu.t.v @@ -33,13 +33,13 @@ module testALU(); $display("testing SUB"); a=32'h00000003;b=32'h00000001;c=`SUB; #2000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000010 0 0 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000010 1 0 0", a, b, c, result, carryout, zero, overflow); a=32'h80000000;b=32'h00000001;c=`SUB; #2000 $display("%h %h %h | %b %b %b %b | 01111111111111111111111111111111 1 0 1", a, b, c, result, carryout, zero, overflow); a=32'h00000000;b=32'h00000000;c=`SUB; #2000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 1 0", a, b, c, result, carryout, zero, overflow); + $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 1 1 0", a, b, c, result, carryout, zero, overflow); a=32'hFFFFFFFF;b=32'hFFFFFFFF;c=`SUB; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 1 1 0", a, b, c, result, carryout, zero, overflow); @@ -67,9 +67,6 @@ module testALU(); $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hAAAA55AA;b=32'hAA5555AA;c=`SLT; #2000 - $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000001 0 0 0", a, b, c, result, carryout, zero, overflow); - - a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #2000 $display("%h %h %h | %b %b %b %b | 00000000000000000000000000000000 0 0 0", a, b, c, result, carryout, zero, overflow); a=32'hFF55FF00;b=32'hFFFF5500;c=`SLT; #2000 @@ -106,5 +103,8 @@ module testALU(); a=32'hFFFF0000;b=32'h00FF00FF;c=`OR; #2000 $display("%h %h %h | %b %b %b %b | 11111111111111110000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); + + $display("tmep"); + $display("testing SLT/SUB"); end endmodule \ No newline at end of file diff --git a/alu.v b/alu.v index c7b7bf8..7a4f5b0 100644 --- a/alu.v +++ b/alu.v @@ -88,9 +88,15 @@ module lastALUunit // last ALU unit, which has an ALU unit with outputs of SLT v ); ALUunit basic_unit(bitR, carryout, bitA, bitB, carryin, less, muxindex, invertBflag); + `XORgate overflowxorgate(overflow, carryin, carryout); - `XORgate overflowxorgate(overflow, bitR, carryout); - `XORgate slt_xorgate(slt, bitR, overflow); + wire slt_result; //sum of A, ~B and carryin, used when the command is SLT because bitR is always 0 for SLT. + wire slt_carryout;//not used variable + wire notB;//inverted B, used when the command is SLT + + `NOTgate invert_b_notgate(notB, bitB); + fullAdder1bit slt_adder(slt_result, add_carryout, bitA, notB, carryin); + `XORgate slt_xorgate(slt, slt_result, overflow); endmodule @@ -119,8 +125,8 @@ module ALU // total ALU which has 32 basic ALU units and control unit. operandA[0], operandB[0], invertBflag, set_SLT, muxindex, invertBflag ); + genvar i; generate // 2nd to 31st adder instantiation - genvar i; for(i=1; i<31; i=i+1) begin: generate_alu_unit ALUunit unit( result[i], @@ -135,8 +141,21 @@ module ALU // total ALU which has 32 basic ALU units and control unit. end endgenerate lastALUunit lastunit( - result[31], carryout, // output: result, carryout - overflow, set_SLT, operandA[31], operandB[31], internal_carryout[30], 0, muxindex, invertBflag + result[31], carryout, overflow, set_SLT, // output: result, carryout, overflow, slt + operandA[31], operandB[31], internal_carryout[30], 0, muxindex, invertBflag ); + wire[30:0] wire_for_zero; + wire[31:0] inverted_result; + `NORgate norgate0(wire_for_zero[0], result[0], result[1]); + generate//zero flag + for(i=2; i<32; i=i+1) begin: generate_inverted_result + `NOTgate notgate(inverted_result[i], result[i]); + end + for(i=1; i<31; i=i+1) begin: generate_zero_flag + `ANDgate norgate(wire_for_zero[i], inverted_result[i+1], wire_for_zero[i-1]); + end + endgenerate + assign zero = wire_for_zero[30]; + endmodule \ No newline at end of file From 6784fad31596248e098bda61d4bda6a641f362d6 Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 22:03:31 -0400 Subject: [PATCH 26/31] -a --- 3bitMux.t.v | 20 ++++++++++---------- 1 file changed, 10 insertions(+), 10 deletions(-) diff --git a/3bitMux.t.v b/3bitMux.t.v index 6b23ed6..ac7e261 100644 --- a/3bitMux.t.v +++ b/3bitMux.t.v @@ -1,9 +1,9 @@ -`define NANDgate nand #320 -`define ANDgate and #330 -`define NORgate nor #320 -`define ORgate or #330 +`define NANDgate nand #10 +`define ANDgate and #20 +`define NORgate nor #10 +`define ORgate or #20 `define NOTgate not #10 -`define XORgate xor #650 +`define XORgate xor #30 `timescale 1 ns / 1 ps `include "3bitMux.v" @@ -17,15 +17,15 @@ module test3BitMux (); initial begin $display("addr inputs | Output"); - addr=3`b000;inputs=8'b00010000; #1000 + addr=3'b000;inputs=8'b00010000; #1000 $display("%b %b | %b", addr, inputs, out); - addr=3`b000;inputs=8'b10000001; #1000 + addr=3'b000;inputs=8'b10000001; #1000 $display("%b %b | %b", addr, inputs, out); - addr=3`b000;inputs=8'b00000000; #1000 + addr=3'b000;inputs=8'b00000000; #1000 $display("%b %b | %b", addr, inputs, out); - addr=3`b011;inputs=8'b01000001; #1000 + addr=3'b011;inputs=8'b01000001; #1000 $display("%b %b | %b", addr, inputs, out); - addr=3`b010;inputs=8'b00001101; #1000 + addr=3'b010;inputs=8'b00001101; #1000 $display("%b %b | %b", addr, inputs, out); end endmodule From d40be0bc43400726db5d03ac113ec01503797f4a Mon Sep 17 00:00:00 2001 From: Sung Park Date: Thu, 12 Oct 2017 22:10:02 -0400 Subject: [PATCH 27/31] Add makefile --- makefile | 11 +++++++++++ 1 file changed, 11 insertions(+) create mode 100644 makefile diff --git a/makefile b/makefile new file mode 100644 index 0000000..6da697b --- /dev/null +++ b/makefile @@ -0,0 +1,11 @@ +all: compile test + +compile: multiplexer.v adder.v 3bitMux.v alu.v + iverilog -o 3bitMux_test 3bitMux.t.v + iverilog -o bitALU_test bitAlu.t.v + iverilog -o alu_test alu.t.v + +test: multiplexer.v adder.v 3bitMux.v alu.v + ./3bitMux_test + ./bitALU_test + ./alu_test From 4959c24125fa9f3628c8d4a1b479febfb2edef0a Mon Sep 17 00:00:00 2001 From: changjun Date: Thu, 12 Oct 2017 22:33:04 -0400 Subject: [PATCH 28/31] revise alu.t.v --- alu.t.v | 3 --- alu.v | 4 +++- 2 files changed, 3 insertions(+), 4 deletions(-) diff --git a/alu.t.v b/alu.t.v index 3b7a767..dc69997 100644 --- a/alu.t.v +++ b/alu.t.v @@ -103,8 +103,5 @@ module testALU(); a=32'hFFFF0000;b=32'h00FF00FF;c=`OR; #2000 $display("%h %h %h | %b %b %b %b | 11111111111111110000000011111111 0 0 0", a, b, c, result, carryout, zero, overflow); - - $display("tmep"); - $display("testing SLT/SUB"); end endmodule \ No newline at end of file diff --git a/alu.v b/alu.v index 7a4f5b0..8e93f8f 100644 --- a/alu.v +++ b/alu.v @@ -125,6 +125,7 @@ module ALU // total ALU which has 32 basic ALU units and control unit. operandA[0], operandB[0], invertBflag, set_SLT, muxindex, invertBflag ); + //// construct 32 ALU units genvar i; generate // 2nd to 31st adder instantiation for(i=1; i<31; i=i+1) begin: generate_alu_unit @@ -145,10 +146,11 @@ module ALU // total ALU which has 32 basic ALU units and control unit. operandA[31], operandB[31], internal_carryout[30], 0, muxindex, invertBflag ); + /// make logic for zero flag wire[30:0] wire_for_zero; wire[31:0] inverted_result; `NORgate norgate0(wire_for_zero[0], result[0], result[1]); - generate//zero flag + generate for(i=2; i<32; i=i+1) begin: generate_inverted_result `NOTgate notgate(inverted_result[i], result[i]); end From 09f6b9954de59aad75450fb802b3c944b165ec5d Mon Sep 17 00:00:00 2001 From: ailuropoda0 Date: Thu, 12 Oct 2017 23:12:37 -0400 Subject: [PATCH 29/31] Delete report.md --- report.md | 71 ------------------------------------------------------- 1 file changed, 71 deletions(-) delete mode 100644 report.md diff --git a/report.md b/report.md deleted file mode 100644 index e8d2aca..0000000 --- a/report.md +++ /dev/null @@ -1,71 +0,0 @@ -# Lab 1 Report - -### Changjun Lim, Sungwoo Park - - -### test case - - -SLT - -We choose 6 cases for SLT. There are 3 parameter related to results, sign of A, sign of B, and A < B. It could be categorized to 8(=23) cases, but when A is negative and B is positive, A < B is always true and when A is positive and B is negative, A < B is always false. So there are only 6 categories. - -For bitwise logic operations(XOR, AND, NAND, NOR, OR), we choose 2 cases and set each case to have 4 categories(in the truth table) in 32 bits. - - - - - -## error case - -example 1) -testing ADD -operandA operandB command | result carryout zero overflow| expected outputs -00000002 00000001 0 | xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx01 0 z x | 00000000000000000000000000000011 0 0 0 -ffffffff ffffffff 0 | xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx0 1 z x | 11111111111111111111111111111110 1 0 0 -00000000 00000000 0 | 00000000000000000000000000000000 0 z 0 | 00000000000000000000000000000000 0 1 0 -7fffffff 00000001 0 | x0101010101010101010101010101010 0 z 0 | 10000000000000000000000000000000 0 0 1 -testing SUB -00000003 00000001 1 | xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx0 x z x | 00000000000000000000000000000010 0 0 0 -80000000 00000001 1 | x1010101010101010101010101010101 1 z 0 | 01111111111111111111111111111111 1 0 1 -00000000 00000000 1 | 10101010101010101010101010101010 0 z 1 | 00000000000000000000000000000000 0 1 0 -ffffffff ffffffff 1 | 10101010101010101010101010101010 0 z 1 | 00000000000000000000000000000000 1 1 0 -testing XOR -aa550055 aaff55aa 2 | 0x0x0x0010101010xxxxxxx1x1x1x1x1 1 z 1 | 00000000101010100101010111111111 0 0 0 -ffff0000 00ff00ff 2 | x1x1x1x1xxxxxxx00000000xx1x1x1x1 1 z 0 | 11111111000000000000000011111111 0 0 0 -testing SLT -555555aa 55aa55aa 3 | x0x0x0x0x0x0x0x0x0x0x0x0x0x0x0x0 0 z 0 | 00000000000000000000000000000001 0 0 0 -555555aa 555555aa 3 | x0x0x0x0x0x0x0x0x0x0x0x0x0x0x0x0 0 z 0 | 00000000000000000000000000000000 0 0 0 -00ff00ff ff00ff00 3 | 0000000xxxxxxxx00000000xxxxxxxx0 0 z 0 | 00000000000000000000000000000000 0 0 0 -ffffff00 0000ffff 3 | xxxxxxxxxxxxxxx00000000000000001 1 z 1 | 00000000000000000000000000000001 0 0 0 -aaaa55aa aa5555aa 3 | 0x0x0x0x0x0x0x0xxxxxxxxxxxxxxxxx x z x | 00000000000000000000000000000001 0 0 0 -ff55ff00 ffff5500 3 | x0x0x0x0x0x0x0xxxxxxxxx0x0x0x0x0 0 z 0 | 00000000000000000000000000000000 0 0 0 -testing AND -ffff0000 00ff00ff 4 | xxxxxxxx1111111x0000000000000000 x z x | 00000000111111110000000000000000 0 0 0 -ff00aa55 aaaa55aa 4 | xxxxxxx0000000000000000000000000 1 z 0 | 10101010000000000000000000000000 0 0 0 -testing NAND -ffff0000 00ff00ff 5 | x1x1x1x1xxxxxxx0xxxxxxx1x1x1x1x1 1 z 0 | 11111111000000001111111111111111 0 0 0 -ff00aa55 aaaa55aa 5 | x1x1x1x1x1x1x1x1x1x1x1x1x1x1x1x1 1 z 1 | 01010101111111111111111111111111 0 0 0 -testing NOR -55550055 aaff55aa 6 | x0x0x0x0x0x0x0x0x0x0x0x000000000 0 z 0 | 00000000000000000101010100000000 0 0 0 -ffff0000 00ff00ff 6 | xxxxxxxxxxxxxxx0xxxxxxxx00000000 x z x | 00000000111111110000000000000000 0 0 0 -testing OR -55ffaa00 aaaa55aa 7 | x1x1x1x1x1x1x1xxxxxxxxxxx0x0x0x0 1 z 0 | 11111111111111111111111110101010 0 0 0 -ffff0000 00ff00ff 7 | 111111111111111x0000000xx1x1x1x1 1 z 0 | 11111111111111110000000011111111 0 0 0 - -connect result bit to carryin/carryout part - - - - -Work Plan Reflection - -testbench design ~10/10 2hours - -alu design ~10/10 0.5hours -~10/11 2hours -~10/12 0.5hours - - -revise code ~10/11 0.5hours -~10/12 2.5hours \ No newline at end of file From 2432d2bfdb7dd0fb610780239f9239498da26fee Mon Sep 17 00:00:00 2001 From: Sung Park Date: Thu, 12 Oct 2017 23:14:03 -0400 Subject: [PATCH 30/31] Add alu vcd file --- alu.vcd | 36185 ++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 36185 insertions(+) create mode 100644 alu.vcd diff --git a/alu.vcd b/alu.vcd new file mode 100644 index 0000000..729fbaa --- /dev/null +++ b/alu.vcd @@ -0,0 +1,36185 @@ +$date + Thu Oct 12 22:14:21 2017 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module testALU $end +$var wire 1 ! carryout $end +$var wire 1 " overflow $end +$var wire 32 # result [31:0] $end +$var wire 1 $ zero $end +$var reg 32 % a [31:0] $end +$var reg 32 & b [31:0] $end +$var reg 3 ' c [2:0] $end +$scope module alu $end +$var wire 1 ! carryout $end +$var wire 3 ( command [2:0] $end +$var wire 31 ) internal_carryout [30:0] $end +$var wire 1 * invertBflag $end +$var wire 32 + inverted_result [31:0] $end +$var wire 3 , muxindex [2:0] $end +$var wire 32 - operandA [31:0] $end +$var wire 32 . operandB [31:0] $end +$var wire 1 " overflow $end +$var wire 32 / result [31:0] $end +$var wire 1 0 set_SLT $end +$var wire 31 1 wire_for_zero [30:0] $end +$var wire 1 $ zero $end +$scope module controlLUT $end +$var wire 3 2 ALUcommand [2:0] $end +$var reg 1 3 invertB $end +$var reg 3 4 muxindex [2:0] $end +$upscope $end +$scope module firstunit $end +$var wire 1 5 bitA $end +$var wire 1 6 bitB $end +$var wire 1 7 bitR $end +$var wire 1 * carryin $end +$var wire 1 8 carryout $end +$var wire 1 9 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 0 less $end +$var wire 3 : muxindex [2:0] $end +$var wire 8 ; muxinput [7:0] $end +$scope module adder $end +$var wire 1 5 a $end +$var wire 1 < aandb $end +$var wire 1 = aorb $end +$var wire 1 > axorb $end +$var wire 1 9 b $end +$var wire 1 ? carryOutWire $end +$var wire 1 * carryin $end +$var wire 1 8 carryout $end +$var wire 1 @ nCarryIn $end +$var wire 1 A notaxorb $end +$var wire 1 B sum $end +$var wire 1 C sumWire0 $end +$var wire 1 D sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 E addr [2:0] $end +$var wire 1 F andResult1 $end +$var wire 1 G andResult2 $end +$var wire 8 H inputs [7:0] $end +$var wire 1 I nS2 $end +$var wire 1 7 out $end +$var wire 1 J result1 $end +$var wire 1 K result2 $end +$scope module mux1 $end +$var wire 1 L address0 $end +$var wire 1 M address1 $end +$var wire 1 N in0 $end +$var wire 1 O in1 $end +$var wire 1 P in2 $end +$var wire 1 Q in3 $end +$var wire 1 R input0Wire0 $end +$var wire 1 S input0Wire1 $end +$var wire 1 T input1Wire0 $end +$var wire 1 U input1Wire1 $end +$var wire 1 V input2Wire0 $end +$var wire 1 W input2Wire1 $end +$var wire 1 X input3Wire0 $end +$var wire 1 Y input3Wire1 $end +$var wire 1 Z nA0 $end +$var wire 1 [ nA1 $end +$var wire 1 \ orWire0 $end +$var wire 1 ] orWire1 $end +$var wire 1 J out $end +$upscope $end +$scope module mux2 $end +$var wire 1 ^ address0 $end +$var wire 1 _ address1 $end +$var wire 1 ` in0 $end +$var wire 1 a in1 $end +$var wire 1 b in2 $end +$var wire 1 c in3 $end +$var wire 1 d input0Wire0 $end +$var wire 1 e input0Wire1 $end +$var wire 1 f input1Wire0 $end +$var wire 1 g input1Wire1 $end +$var wire 1 h input2Wire0 $end +$var wire 1 i input2Wire1 $end +$var wire 1 j input3Wire0 $end +$var wire 1 k input3Wire1 $end +$var wire 1 l nA0 $end +$var wire 1 m nA1 $end +$var wire 1 n orWire0 $end +$var wire 1 o orWire1 $end +$var wire 1 K out $end +$upscope $end +$upscope $end +$upscope $end +$scope module lastunit $end +$var wire 1 p add_carryout $end +$var wire 1 q bitA $end +$var wire 1 r bitB $end +$var wire 1 s bitR $end +$var wire 1 t carryin $end +$var wire 1 ! carryout $end +$var wire 1 * invertBflag $end +$var wire 1 u less $end +$var wire 3 v muxindex [2:0] $end +$var wire 1 w notB $end +$var wire 1 " overflow $end +$var wire 1 0 slt $end +$var wire 1 x slt_result $end +$scope module basic_unit $end +$var wire 1 q bitA $end +$var wire 1 r bitB $end +$var wire 1 s bitR $end +$var wire 1 t carryin $end +$var wire 1 ! carryout $end +$var wire 1 y inputB $end +$var wire 1 * invertBflag $end +$var wire 1 u less $end +$var wire 3 z muxindex [2:0] $end +$var wire 8 { muxinput [7:0] $end +$scope module adder $end +$var wire 1 q a $end +$var wire 1 | aandb $end +$var wire 1 } aorb $end +$var wire 1 ~ axorb $end +$var wire 1 y b $end +$var wire 1 !" carryOutWire $end +$var wire 1 t carryin $end +$var wire 1 ! carryout $end +$var wire 1 "" nCarryIn $end +$var wire 1 #" notaxorb $end +$var wire 1 $" sum $end +$var wire 1 %" sumWire0 $end +$var wire 1 &" sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 '" addr [2:0] $end +$var wire 1 (" andResult1 $end +$var wire 1 )" andResult2 $end +$var wire 8 *" inputs [7:0] $end +$var wire 1 +" nS2 $end +$var wire 1 s out $end +$var wire 1 ," result1 $end +$var wire 1 -" result2 $end +$scope module mux1 $end +$var wire 1 ." address0 $end +$var wire 1 /" address1 $end +$var wire 1 0" in0 $end +$var wire 1 1" in1 $end +$var wire 1 2" in2 $end +$var wire 1 3" in3 $end +$var wire 1 4" input0Wire0 $end +$var wire 1 5" input0Wire1 $end +$var wire 1 6" input1Wire0 $end +$var wire 1 7" input1Wire1 $end +$var wire 1 8" input2Wire0 $end +$var wire 1 9" input2Wire1 $end +$var wire 1 :" input3Wire0 $end +$var wire 1 ;" input3Wire1 $end +$var wire 1 <" nA0 $end +$var wire 1 =" nA1 $end +$var wire 1 >" orWire0 $end +$var wire 1 ?" orWire1 $end +$var wire 1 ," out $end +$upscope $end +$scope module mux2 $end +$var wire 1 @" address0 $end +$var wire 1 A" address1 $end +$var wire 1 B" in0 $end +$var wire 1 C" in1 $end +$var wire 1 D" in2 $end +$var wire 1 E" in3 $end +$var wire 1 F" input0Wire0 $end +$var wire 1 G" input0Wire1 $end +$var wire 1 H" input1Wire0 $end +$var wire 1 I" input1Wire1 $end +$var wire 1 J" input2Wire0 $end +$var wire 1 K" input2Wire1 $end +$var wire 1 L" input3Wire0 $end +$var wire 1 M" input3Wire1 $end +$var wire 1 N" nA0 $end +$var wire 1 O" nA1 $end +$var wire 1 P" orWire0 $end +$var wire 1 Q" orWire1 $end +$var wire 1 -" out $end +$upscope $end +$upscope $end +$upscope $end +$scope module slt_adder $end +$var wire 1 q a $end +$var wire 1 R" aandb $end +$var wire 1 S" aorb $end +$var wire 1 T" axorb $end +$var wire 1 w b $end +$var wire 1 U" carryOutWire $end +$var wire 1 t carryin $end +$var wire 1 p carryout $end +$var wire 1 V" nCarryIn $end +$var wire 1 W" notaxorb $end +$var wire 1 x sum $end +$var wire 1 X" sumWire0 $end +$var wire 1 Y" sumWire1 $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[1] $end +$scope module unit $end +$var wire 1 Z" bitA $end +$var wire 1 [" bitB $end +$var wire 1 \" bitR $end +$var wire 1 ]" carryin $end +$var wire 1 ^" carryout $end +$var wire 1 _" inputB $end +$var wire 1 * invertBflag $end +$var wire 1 `" less $end +$var wire 3 a" muxindex [2:0] $end +$var wire 8 b" muxinput [7:0] $end +$scope module adder $end +$var wire 1 Z" a $end +$var wire 1 c" aandb $end +$var wire 1 d" aorb $end +$var wire 1 e" axorb $end +$var wire 1 _" b $end +$var wire 1 f" carryOutWire $end +$var wire 1 ]" carryin $end +$var wire 1 ^" carryout $end +$var wire 1 g" nCarryIn $end +$var wire 1 h" notaxorb $end +$var wire 1 i" sum $end +$var wire 1 j" sumWire0 $end +$var wire 1 k" sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 l" addr [2:0] $end +$var wire 1 m" andResult1 $end +$var wire 1 n" andResult2 $end +$var wire 8 o" inputs [7:0] $end +$var wire 1 p" nS2 $end +$var wire 1 \" out $end +$var wire 1 q" result1 $end +$var wire 1 r" result2 $end +$scope module mux1 $end +$var wire 1 s" address0 $end +$var wire 1 t" address1 $end +$var wire 1 u" in0 $end +$var wire 1 v" in1 $end +$var wire 1 w" in2 $end +$var wire 1 x" in3 $end +$var wire 1 y" input0Wire0 $end +$var wire 1 z" input0Wire1 $end +$var wire 1 {" input1Wire0 $end +$var wire 1 |" input1Wire1 $end +$var wire 1 }" input2Wire0 $end +$var wire 1 ~" input2Wire1 $end +$var wire 1 !# input3Wire0 $end +$var wire 1 "# input3Wire1 $end +$var wire 1 ## nA0 $end +$var wire 1 $# nA1 $end +$var wire 1 %# orWire0 $end +$var wire 1 &# orWire1 $end +$var wire 1 q" out $end +$upscope $end +$scope module mux2 $end +$var wire 1 '# address0 $end +$var wire 1 (# address1 $end +$var wire 1 )# in0 $end +$var wire 1 *# in1 $end +$var wire 1 +# in2 $end +$var wire 1 ,# in3 $end +$var wire 1 -# input0Wire0 $end +$var wire 1 .# input0Wire1 $end +$var wire 1 /# input1Wire0 $end +$var wire 1 0# input1Wire1 $end +$var wire 1 1# input2Wire0 $end +$var wire 1 2# input2Wire1 $end +$var wire 1 3# input3Wire0 $end +$var wire 1 4# input3Wire1 $end +$var wire 1 5# nA0 $end +$var wire 1 6# nA1 $end +$var wire 1 7# orWire0 $end +$var wire 1 8# orWire1 $end +$var wire 1 r" out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[2] $end +$scope module unit $end +$var wire 1 9# bitA $end +$var wire 1 :# bitB $end +$var wire 1 ;# bitR $end +$var wire 1 <# carryin $end +$var wire 1 =# carryout $end +$var wire 1 ># inputB $end +$var wire 1 * invertBflag $end +$var wire 1 ?# less $end +$var wire 3 @# muxindex [2:0] $end +$var wire 8 A# muxinput [7:0] $end +$scope module adder $end +$var wire 1 9# a $end +$var wire 1 B# aandb $end +$var wire 1 C# aorb $end +$var wire 1 D# axorb $end +$var wire 1 ># b $end +$var wire 1 E# carryOutWire $end +$var wire 1 <# carryin $end +$var wire 1 =# carryout $end +$var wire 1 F# nCarryIn $end +$var wire 1 G# notaxorb $end +$var wire 1 H# sum $end +$var wire 1 I# sumWire0 $end +$var wire 1 J# sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 K# addr [2:0] $end +$var wire 1 L# andResult1 $end +$var wire 1 M# andResult2 $end +$var wire 8 N# inputs [7:0] $end +$var wire 1 O# nS2 $end +$var wire 1 ;# out $end +$var wire 1 P# result1 $end +$var wire 1 Q# result2 $end +$scope module mux1 $end +$var wire 1 R# address0 $end +$var wire 1 S# address1 $end +$var wire 1 T# in0 $end +$var wire 1 U# in1 $end +$var wire 1 V# in2 $end +$var wire 1 W# in3 $end +$var wire 1 X# input0Wire0 $end +$var wire 1 Y# input0Wire1 $end +$var wire 1 Z# input1Wire0 $end +$var wire 1 [# input1Wire1 $end +$var wire 1 \# input2Wire0 $end +$var wire 1 ]# input2Wire1 $end +$var wire 1 ^# input3Wire0 $end +$var wire 1 _# input3Wire1 $end +$var wire 1 `# nA0 $end +$var wire 1 a# nA1 $end +$var wire 1 b# orWire0 $end +$var wire 1 c# orWire1 $end +$var wire 1 P# out $end +$upscope $end +$scope module mux2 $end +$var wire 1 d# address0 $end +$var wire 1 e# address1 $end +$var wire 1 f# in0 $end +$var wire 1 g# in1 $end +$var wire 1 h# in2 $end +$var wire 1 i# in3 $end +$var wire 1 j# input0Wire0 $end +$var wire 1 k# input0Wire1 $end +$var wire 1 l# input1Wire0 $end +$var wire 1 m# input1Wire1 $end +$var wire 1 n# input2Wire0 $end +$var wire 1 o# input2Wire1 $end +$var wire 1 p# input3Wire0 $end +$var wire 1 q# input3Wire1 $end +$var wire 1 r# nA0 $end +$var wire 1 s# nA1 $end +$var wire 1 t# orWire0 $end +$var wire 1 u# orWire1 $end +$var wire 1 Q# out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[3] $end +$scope module unit $end +$var wire 1 v# bitA $end +$var wire 1 w# bitB $end +$var wire 1 x# bitR $end +$var wire 1 y# carryin $end +$var wire 1 z# carryout $end +$var wire 1 {# inputB $end +$var wire 1 * invertBflag $end +$var wire 1 |# less $end +$var wire 3 }# muxindex [2:0] $end +$var wire 8 ~# muxinput [7:0] $end +$scope module adder $end +$var wire 1 v# a $end +$var wire 1 !$ aandb $end +$var wire 1 "$ aorb $end +$var wire 1 #$ axorb $end +$var wire 1 {# b $end +$var wire 1 $$ carryOutWire $end +$var wire 1 y# carryin $end +$var wire 1 z# carryout $end +$var wire 1 %$ nCarryIn $end +$var wire 1 &$ notaxorb $end +$var wire 1 '$ sum $end +$var wire 1 ($ sumWire0 $end +$var wire 1 )$ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 *$ addr [2:0] $end +$var wire 1 +$ andResult1 $end +$var wire 1 ,$ andResult2 $end +$var wire 8 -$ inputs [7:0] $end +$var wire 1 .$ nS2 $end +$var wire 1 x# out $end +$var wire 1 /$ result1 $end +$var wire 1 0$ result2 $end +$scope module mux1 $end +$var wire 1 1$ address0 $end +$var wire 1 2$ address1 $end +$var wire 1 3$ in0 $end +$var wire 1 4$ in1 $end +$var wire 1 5$ in2 $end +$var wire 1 6$ in3 $end +$var wire 1 7$ input0Wire0 $end +$var wire 1 8$ input0Wire1 $end +$var wire 1 9$ input1Wire0 $end +$var wire 1 :$ input1Wire1 $end +$var wire 1 ;$ input2Wire0 $end +$var wire 1 <$ input2Wire1 $end +$var wire 1 =$ input3Wire0 $end +$var wire 1 >$ input3Wire1 $end +$var wire 1 ?$ nA0 $end +$var wire 1 @$ nA1 $end +$var wire 1 A$ orWire0 $end +$var wire 1 B$ orWire1 $end +$var wire 1 /$ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 C$ address0 $end +$var wire 1 D$ address1 $end +$var wire 1 E$ in0 $end +$var wire 1 F$ in1 $end +$var wire 1 G$ in2 $end +$var wire 1 H$ in3 $end +$var wire 1 I$ input0Wire0 $end +$var wire 1 J$ input0Wire1 $end +$var wire 1 K$ input1Wire0 $end +$var wire 1 L$ input1Wire1 $end +$var wire 1 M$ input2Wire0 $end +$var wire 1 N$ input2Wire1 $end +$var wire 1 O$ input3Wire0 $end +$var wire 1 P$ input3Wire1 $end +$var wire 1 Q$ nA0 $end +$var wire 1 R$ nA1 $end +$var wire 1 S$ orWire0 $end +$var wire 1 T$ orWire1 $end +$var wire 1 0$ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[4] $end +$scope module unit $end +$var wire 1 U$ bitA $end +$var wire 1 V$ bitB $end +$var wire 1 W$ bitR $end +$var wire 1 X$ carryin $end +$var wire 1 Y$ carryout $end +$var wire 1 Z$ inputB $end +$var wire 1 * invertBflag $end +$var wire 1 [$ less $end +$var wire 3 \$ muxindex [2:0] $end +$var wire 8 ]$ muxinput [7:0] $end +$scope module adder $end +$var wire 1 U$ a $end +$var wire 1 ^$ aandb $end +$var wire 1 _$ aorb $end +$var wire 1 `$ axorb $end +$var wire 1 Z$ b $end +$var wire 1 a$ carryOutWire $end +$var wire 1 X$ carryin $end +$var wire 1 Y$ carryout $end +$var wire 1 b$ nCarryIn $end +$var wire 1 c$ notaxorb $end +$var wire 1 d$ sum $end +$var wire 1 e$ sumWire0 $end +$var wire 1 f$ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 g$ addr [2:0] $end +$var wire 1 h$ andResult1 $end +$var wire 1 i$ andResult2 $end +$var wire 8 j$ inputs [7:0] $end +$var wire 1 k$ nS2 $end +$var wire 1 W$ out $end +$var wire 1 l$ result1 $end +$var wire 1 m$ result2 $end +$scope module mux1 $end +$var wire 1 n$ address0 $end +$var wire 1 o$ address1 $end +$var wire 1 p$ in0 $end +$var wire 1 q$ in1 $end +$var wire 1 r$ in2 $end +$var wire 1 s$ in3 $end +$var wire 1 t$ input0Wire0 $end +$var wire 1 u$ input0Wire1 $end +$var wire 1 v$ input1Wire0 $end +$var wire 1 w$ input1Wire1 $end +$var wire 1 x$ input2Wire0 $end +$var wire 1 y$ input2Wire1 $end +$var wire 1 z$ input3Wire0 $end +$var wire 1 {$ input3Wire1 $end +$var wire 1 |$ nA0 $end +$var wire 1 }$ nA1 $end +$var wire 1 ~$ orWire0 $end +$var wire 1 !% orWire1 $end +$var wire 1 l$ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 "% address0 $end +$var wire 1 #% address1 $end +$var wire 1 $% in0 $end +$var wire 1 %% in1 $end +$var wire 1 &% in2 $end +$var wire 1 '% in3 $end +$var wire 1 (% input0Wire0 $end +$var wire 1 )% input0Wire1 $end +$var wire 1 *% input1Wire0 $end +$var wire 1 +% input1Wire1 $end +$var wire 1 ,% input2Wire0 $end +$var wire 1 -% input2Wire1 $end +$var wire 1 .% input3Wire0 $end +$var wire 1 /% input3Wire1 $end +$var wire 1 0% nA0 $end +$var wire 1 1% nA1 $end +$var wire 1 2% orWire0 $end +$var wire 1 3% orWire1 $end +$var wire 1 m$ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[5] $end +$scope module unit $end +$var wire 1 4% bitA $end +$var wire 1 5% bitB $end +$var wire 1 6% bitR $end +$var wire 1 7% carryin $end +$var wire 1 8% carryout $end +$var wire 1 9% inputB $end +$var wire 1 * invertBflag $end +$var wire 1 :% less $end +$var wire 3 ;% muxindex [2:0] $end +$var wire 8 <% muxinput [7:0] $end +$scope module adder $end +$var wire 1 4% a $end +$var wire 1 =% aandb $end +$var wire 1 >% aorb $end +$var wire 1 ?% axorb $end +$var wire 1 9% b $end +$var wire 1 @% carryOutWire $end +$var wire 1 7% carryin $end +$var wire 1 8% carryout $end +$var wire 1 A% nCarryIn $end +$var wire 1 B% notaxorb $end +$var wire 1 C% sum $end +$var wire 1 D% sumWire0 $end +$var wire 1 E% sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 F% addr [2:0] $end +$var wire 1 G% andResult1 $end +$var wire 1 H% andResult2 $end +$var wire 8 I% inputs [7:0] $end +$var wire 1 J% nS2 $end +$var wire 1 6% out $end +$var wire 1 K% result1 $end +$var wire 1 L% result2 $end +$scope module mux1 $end +$var wire 1 M% address0 $end +$var wire 1 N% address1 $end +$var wire 1 O% in0 $end +$var wire 1 P% in1 $end +$var wire 1 Q% in2 $end +$var wire 1 R% in3 $end +$var wire 1 S% input0Wire0 $end +$var wire 1 T% input0Wire1 $end +$var wire 1 U% input1Wire0 $end +$var wire 1 V% input1Wire1 $end +$var wire 1 W% input2Wire0 $end +$var wire 1 X% input2Wire1 $end +$var wire 1 Y% input3Wire0 $end +$var wire 1 Z% input3Wire1 $end +$var wire 1 [% nA0 $end +$var wire 1 \% nA1 $end +$var wire 1 ]% orWire0 $end +$var wire 1 ^% orWire1 $end +$var wire 1 K% out $end +$upscope $end +$scope module mux2 $end +$var wire 1 _% address0 $end +$var wire 1 `% address1 $end +$var wire 1 a% in0 $end +$var wire 1 b% in1 $end +$var wire 1 c% in2 $end +$var wire 1 d% in3 $end +$var wire 1 e% input0Wire0 $end +$var wire 1 f% input0Wire1 $end +$var wire 1 g% input1Wire0 $end +$var wire 1 h% input1Wire1 $end +$var wire 1 i% input2Wire0 $end +$var wire 1 j% input2Wire1 $end +$var wire 1 k% input3Wire0 $end +$var wire 1 l% input3Wire1 $end +$var wire 1 m% nA0 $end +$var wire 1 n% nA1 $end +$var wire 1 o% orWire0 $end +$var wire 1 p% orWire1 $end +$var wire 1 L% out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[6] $end +$scope module unit $end +$var wire 1 q% bitA $end +$var wire 1 r% bitB $end +$var wire 1 s% bitR $end +$var wire 1 t% carryin $end +$var wire 1 u% carryout $end +$var wire 1 v% inputB $end +$var wire 1 * invertBflag $end +$var wire 1 w% less $end +$var wire 3 x% muxindex [2:0] $end +$var wire 8 y% muxinput [7:0] $end +$scope module adder $end +$var wire 1 q% a $end +$var wire 1 z% aandb $end +$var wire 1 {% aorb $end +$var wire 1 |% axorb $end +$var wire 1 v% b $end +$var wire 1 }% carryOutWire $end +$var wire 1 t% carryin $end +$var wire 1 u% carryout $end +$var wire 1 ~% nCarryIn $end +$var wire 1 !& notaxorb $end +$var wire 1 "& sum $end +$var wire 1 #& sumWire0 $end +$var wire 1 $& sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 %& addr [2:0] $end +$var wire 1 && andResult1 $end +$var wire 1 '& andResult2 $end +$var wire 8 (& inputs [7:0] $end +$var wire 1 )& nS2 $end +$var wire 1 s% out $end +$var wire 1 *& result1 $end +$var wire 1 +& result2 $end +$scope module mux1 $end +$var wire 1 ,& address0 $end +$var wire 1 -& address1 $end +$var wire 1 .& in0 $end +$var wire 1 /& in1 $end +$var wire 1 0& in2 $end +$var wire 1 1& in3 $end +$var wire 1 2& input0Wire0 $end +$var wire 1 3& input0Wire1 $end +$var wire 1 4& input1Wire0 $end +$var wire 1 5& input1Wire1 $end +$var wire 1 6& input2Wire0 $end +$var wire 1 7& input2Wire1 $end +$var wire 1 8& input3Wire0 $end +$var wire 1 9& input3Wire1 $end +$var wire 1 :& nA0 $end +$var wire 1 ;& nA1 $end +$var wire 1 <& orWire0 $end +$var wire 1 =& orWire1 $end +$var wire 1 *& out $end +$upscope $end +$scope module mux2 $end +$var wire 1 >& address0 $end +$var wire 1 ?& address1 $end +$var wire 1 @& in0 $end +$var wire 1 A& in1 $end +$var wire 1 B& in2 $end +$var wire 1 C& in3 $end +$var wire 1 D& input0Wire0 $end +$var wire 1 E& input0Wire1 $end +$var wire 1 F& input1Wire0 $end +$var wire 1 G& input1Wire1 $end +$var wire 1 H& input2Wire0 $end +$var wire 1 I& input2Wire1 $end +$var wire 1 J& input3Wire0 $end +$var wire 1 K& input3Wire1 $end +$var wire 1 L& nA0 $end +$var wire 1 M& nA1 $end +$var wire 1 N& orWire0 $end +$var wire 1 O& orWire1 $end +$var wire 1 +& out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[7] $end +$scope module unit $end +$var wire 1 P& bitA $end +$var wire 1 Q& bitB $end +$var wire 1 R& bitR $end +$var wire 1 S& carryin $end +$var wire 1 T& carryout $end +$var wire 1 U& inputB $end +$var wire 1 * invertBflag $end +$var wire 1 V& less $end +$var wire 3 W& muxindex [2:0] $end +$var wire 8 X& muxinput [7:0] $end +$scope module adder $end +$var wire 1 P& a $end +$var wire 1 Y& aandb $end +$var wire 1 Z& aorb $end +$var wire 1 [& axorb $end +$var wire 1 U& b $end +$var wire 1 \& carryOutWire $end +$var wire 1 S& carryin $end +$var wire 1 T& carryout $end +$var wire 1 ]& nCarryIn $end +$var wire 1 ^& notaxorb $end +$var wire 1 _& sum $end +$var wire 1 `& sumWire0 $end +$var wire 1 a& sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 b& addr [2:0] $end +$var wire 1 c& andResult1 $end +$var wire 1 d& andResult2 $end +$var wire 8 e& inputs [7:0] $end +$var wire 1 f& nS2 $end +$var wire 1 R& out $end +$var wire 1 g& result1 $end +$var wire 1 h& result2 $end +$scope module mux1 $end +$var wire 1 i& address0 $end +$var wire 1 j& address1 $end +$var wire 1 k& in0 $end +$var wire 1 l& in1 $end +$var wire 1 m& in2 $end +$var wire 1 n& in3 $end +$var wire 1 o& input0Wire0 $end +$var wire 1 p& input0Wire1 $end +$var wire 1 q& input1Wire0 $end +$var wire 1 r& input1Wire1 $end +$var wire 1 s& input2Wire0 $end +$var wire 1 t& input2Wire1 $end +$var wire 1 u& input3Wire0 $end +$var wire 1 v& input3Wire1 $end +$var wire 1 w& nA0 $end +$var wire 1 x& nA1 $end +$var wire 1 y& orWire0 $end +$var wire 1 z& orWire1 $end +$var wire 1 g& out $end +$upscope $end +$scope module mux2 $end +$var wire 1 {& address0 $end +$var wire 1 |& address1 $end +$var wire 1 }& in0 $end +$var wire 1 ~& in1 $end +$var wire 1 !' in2 $end +$var wire 1 "' in3 $end +$var wire 1 #' input0Wire0 $end +$var wire 1 $' input0Wire1 $end +$var wire 1 %' input1Wire0 $end +$var wire 1 &' input1Wire1 $end +$var wire 1 '' input2Wire0 $end +$var wire 1 (' input2Wire1 $end +$var wire 1 )' input3Wire0 $end +$var wire 1 *' input3Wire1 $end +$var wire 1 +' nA0 $end +$var wire 1 ,' nA1 $end +$var wire 1 -' orWire0 $end +$var wire 1 .' orWire1 $end +$var wire 1 h& out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[8] $end +$scope module unit $end +$var wire 1 /' bitA $end +$var wire 1 0' bitB $end +$var wire 1 1' bitR $end +$var wire 1 2' carryin $end +$var wire 1 3' carryout $end +$var wire 1 4' inputB $end +$var wire 1 * invertBflag $end +$var wire 1 5' less $end +$var wire 3 6' muxindex [2:0] $end +$var wire 8 7' muxinput [7:0] $end +$scope module adder $end +$var wire 1 /' a $end +$var wire 1 8' aandb $end +$var wire 1 9' aorb $end +$var wire 1 :' axorb $end +$var wire 1 4' b $end +$var wire 1 ;' carryOutWire $end +$var wire 1 2' carryin $end +$var wire 1 3' carryout $end +$var wire 1 <' nCarryIn $end +$var wire 1 =' notaxorb $end +$var wire 1 >' sum $end +$var wire 1 ?' sumWire0 $end +$var wire 1 @' sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 A' addr [2:0] $end +$var wire 1 B' andResult1 $end +$var wire 1 C' andResult2 $end +$var wire 8 D' inputs [7:0] $end +$var wire 1 E' nS2 $end +$var wire 1 1' out $end +$var wire 1 F' result1 $end +$var wire 1 G' result2 $end +$scope module mux1 $end +$var wire 1 H' address0 $end +$var wire 1 I' address1 $end +$var wire 1 J' in0 $end +$var wire 1 K' in1 $end +$var wire 1 L' in2 $end +$var wire 1 M' in3 $end +$var wire 1 N' input0Wire0 $end +$var wire 1 O' input0Wire1 $end +$var wire 1 P' input1Wire0 $end +$var wire 1 Q' input1Wire1 $end +$var wire 1 R' input2Wire0 $end +$var wire 1 S' input2Wire1 $end +$var wire 1 T' input3Wire0 $end +$var wire 1 U' input3Wire1 $end +$var wire 1 V' nA0 $end +$var wire 1 W' nA1 $end +$var wire 1 X' orWire0 $end +$var wire 1 Y' orWire1 $end +$var wire 1 F' out $end +$upscope $end +$scope module mux2 $end +$var wire 1 Z' address0 $end +$var wire 1 [' address1 $end +$var wire 1 \' in0 $end +$var wire 1 ]' in1 $end +$var wire 1 ^' in2 $end +$var wire 1 _' in3 $end +$var wire 1 `' input0Wire0 $end +$var wire 1 a' input0Wire1 $end +$var wire 1 b' input1Wire0 $end +$var wire 1 c' input1Wire1 $end +$var wire 1 d' input2Wire0 $end +$var wire 1 e' input2Wire1 $end +$var wire 1 f' input3Wire0 $end +$var wire 1 g' input3Wire1 $end +$var wire 1 h' nA0 $end +$var wire 1 i' nA1 $end +$var wire 1 j' orWire0 $end +$var wire 1 k' orWire1 $end +$var wire 1 G' out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[9] $end +$scope module unit $end +$var wire 1 l' bitA $end +$var wire 1 m' bitB $end +$var wire 1 n' bitR $end +$var wire 1 o' carryin $end +$var wire 1 p' carryout $end +$var wire 1 q' inputB $end +$var wire 1 * invertBflag $end +$var wire 1 r' less $end +$var wire 3 s' muxindex [2:0] $end +$var wire 8 t' muxinput [7:0] $end +$scope module adder $end +$var wire 1 l' a $end +$var wire 1 u' aandb $end +$var wire 1 v' aorb $end +$var wire 1 w' axorb $end +$var wire 1 q' b $end +$var wire 1 x' carryOutWire $end +$var wire 1 o' carryin $end +$var wire 1 p' carryout $end +$var wire 1 y' nCarryIn $end +$var wire 1 z' notaxorb $end +$var wire 1 {' sum $end +$var wire 1 |' sumWire0 $end +$var wire 1 }' sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 ~' addr [2:0] $end +$var wire 1 !( andResult1 $end +$var wire 1 "( andResult2 $end +$var wire 8 #( inputs [7:0] $end +$var wire 1 $( nS2 $end +$var wire 1 n' out $end +$var wire 1 %( result1 $end +$var wire 1 &( result2 $end +$scope module mux1 $end +$var wire 1 '( address0 $end +$var wire 1 (( address1 $end +$var wire 1 )( in0 $end +$var wire 1 *( in1 $end +$var wire 1 +( in2 $end +$var wire 1 ,( in3 $end +$var wire 1 -( input0Wire0 $end +$var wire 1 .( input0Wire1 $end +$var wire 1 /( input1Wire0 $end +$var wire 1 0( input1Wire1 $end +$var wire 1 1( input2Wire0 $end +$var wire 1 2( input2Wire1 $end +$var wire 1 3( input3Wire0 $end +$var wire 1 4( input3Wire1 $end +$var wire 1 5( nA0 $end +$var wire 1 6( nA1 $end +$var wire 1 7( orWire0 $end +$var wire 1 8( orWire1 $end +$var wire 1 %( out $end +$upscope $end +$scope module mux2 $end +$var wire 1 9( address0 $end +$var wire 1 :( address1 $end +$var wire 1 ;( in0 $end +$var wire 1 <( in1 $end +$var wire 1 =( in2 $end +$var wire 1 >( in3 $end +$var wire 1 ?( input0Wire0 $end +$var wire 1 @( input0Wire1 $end +$var wire 1 A( input1Wire0 $end +$var wire 1 B( input1Wire1 $end +$var wire 1 C( input2Wire0 $end +$var wire 1 D( input2Wire1 $end +$var wire 1 E( input3Wire0 $end +$var wire 1 F( input3Wire1 $end +$var wire 1 G( nA0 $end +$var wire 1 H( nA1 $end +$var wire 1 I( orWire0 $end +$var wire 1 J( orWire1 $end +$var wire 1 &( out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[10] $end +$scope module unit $end +$var wire 1 K( bitA $end +$var wire 1 L( bitB $end +$var wire 1 M( bitR $end +$var wire 1 N( carryin $end +$var wire 1 O( carryout $end +$var wire 1 P( inputB $end +$var wire 1 * invertBflag $end +$var wire 1 Q( less $end +$var wire 3 R( muxindex [2:0] $end +$var wire 8 S( muxinput [7:0] $end +$scope module adder $end +$var wire 1 K( a $end +$var wire 1 T( aandb $end +$var wire 1 U( aorb $end +$var wire 1 V( axorb $end +$var wire 1 P( b $end +$var wire 1 W( carryOutWire $end +$var wire 1 N( carryin $end +$var wire 1 O( carryout $end +$var wire 1 X( nCarryIn $end +$var wire 1 Y( notaxorb $end +$var wire 1 Z( sum $end +$var wire 1 [( sumWire0 $end +$var wire 1 \( sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 ]( addr [2:0] $end +$var wire 1 ^( andResult1 $end +$var wire 1 _( andResult2 $end +$var wire 8 `( inputs [7:0] $end +$var wire 1 a( nS2 $end +$var wire 1 M( out $end +$var wire 1 b( result1 $end +$var wire 1 c( result2 $end +$scope module mux1 $end +$var wire 1 d( address0 $end +$var wire 1 e( address1 $end +$var wire 1 f( in0 $end +$var wire 1 g( in1 $end +$var wire 1 h( in2 $end +$var wire 1 i( in3 $end +$var wire 1 j( input0Wire0 $end +$var wire 1 k( input0Wire1 $end +$var wire 1 l( input1Wire0 $end +$var wire 1 m( input1Wire1 $end +$var wire 1 n( input2Wire0 $end +$var wire 1 o( input2Wire1 $end +$var wire 1 p( input3Wire0 $end +$var wire 1 q( input3Wire1 $end +$var wire 1 r( nA0 $end +$var wire 1 s( nA1 $end +$var wire 1 t( orWire0 $end +$var wire 1 u( orWire1 $end +$var wire 1 b( out $end +$upscope $end +$scope module mux2 $end +$var wire 1 v( address0 $end +$var wire 1 w( address1 $end +$var wire 1 x( in0 $end +$var wire 1 y( in1 $end +$var wire 1 z( in2 $end +$var wire 1 {( in3 $end +$var wire 1 |( input0Wire0 $end +$var wire 1 }( input0Wire1 $end +$var wire 1 ~( input1Wire0 $end +$var wire 1 !) input1Wire1 $end +$var wire 1 ") input2Wire0 $end +$var wire 1 #) input2Wire1 $end +$var wire 1 $) input3Wire0 $end +$var wire 1 %) input3Wire1 $end +$var wire 1 &) nA0 $end +$var wire 1 ') nA1 $end +$var wire 1 () orWire0 $end +$var wire 1 )) orWire1 $end +$var wire 1 c( out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[11] $end +$scope module unit $end +$var wire 1 *) bitA $end +$var wire 1 +) bitB $end +$var wire 1 ,) bitR $end +$var wire 1 -) carryin $end +$var wire 1 .) carryout $end +$var wire 1 /) inputB $end +$var wire 1 * invertBflag $end +$var wire 1 0) less $end +$var wire 3 1) muxindex [2:0] $end +$var wire 8 2) muxinput [7:0] $end +$scope module adder $end +$var wire 1 *) a $end +$var wire 1 3) aandb $end +$var wire 1 4) aorb $end +$var wire 1 5) axorb $end +$var wire 1 /) b $end +$var wire 1 6) carryOutWire $end +$var wire 1 -) carryin $end +$var wire 1 .) carryout $end +$var wire 1 7) nCarryIn $end +$var wire 1 8) notaxorb $end +$var wire 1 9) sum $end +$var wire 1 :) sumWire0 $end +$var wire 1 ;) sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 <) addr [2:0] $end +$var wire 1 =) andResult1 $end +$var wire 1 >) andResult2 $end +$var wire 8 ?) inputs [7:0] $end +$var wire 1 @) nS2 $end +$var wire 1 ,) out $end +$var wire 1 A) result1 $end +$var wire 1 B) result2 $end +$scope module mux1 $end +$var wire 1 C) address0 $end +$var wire 1 D) address1 $end +$var wire 1 E) in0 $end +$var wire 1 F) in1 $end +$var wire 1 G) in2 $end +$var wire 1 H) in3 $end +$var wire 1 I) input0Wire0 $end +$var wire 1 J) input0Wire1 $end +$var wire 1 K) input1Wire0 $end +$var wire 1 L) input1Wire1 $end +$var wire 1 M) input2Wire0 $end +$var wire 1 N) input2Wire1 $end +$var wire 1 O) input3Wire0 $end +$var wire 1 P) input3Wire1 $end +$var wire 1 Q) nA0 $end +$var wire 1 R) nA1 $end +$var wire 1 S) orWire0 $end +$var wire 1 T) orWire1 $end +$var wire 1 A) out $end +$upscope $end +$scope module mux2 $end +$var wire 1 U) address0 $end +$var wire 1 V) address1 $end +$var wire 1 W) in0 $end +$var wire 1 X) in1 $end +$var wire 1 Y) in2 $end +$var wire 1 Z) in3 $end +$var wire 1 [) input0Wire0 $end +$var wire 1 \) input0Wire1 $end +$var wire 1 ]) input1Wire0 $end +$var wire 1 ^) input1Wire1 $end +$var wire 1 _) input2Wire0 $end +$var wire 1 `) input2Wire1 $end +$var wire 1 a) input3Wire0 $end +$var wire 1 b) input3Wire1 $end +$var wire 1 c) nA0 $end +$var wire 1 d) nA1 $end +$var wire 1 e) orWire0 $end +$var wire 1 f) orWire1 $end +$var wire 1 B) out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[12] $end +$scope module unit $end +$var wire 1 g) bitA $end +$var wire 1 h) bitB $end +$var wire 1 i) bitR $end +$var wire 1 j) carryin $end +$var wire 1 k) carryout $end +$var wire 1 l) inputB $end +$var wire 1 * invertBflag $end +$var wire 1 m) less $end +$var wire 3 n) muxindex [2:0] $end +$var wire 8 o) muxinput [7:0] $end +$scope module adder $end +$var wire 1 g) a $end +$var wire 1 p) aandb $end +$var wire 1 q) aorb $end +$var wire 1 r) axorb $end +$var wire 1 l) b $end +$var wire 1 s) carryOutWire $end +$var wire 1 j) carryin $end +$var wire 1 k) carryout $end +$var wire 1 t) nCarryIn $end +$var wire 1 u) notaxorb $end +$var wire 1 v) sum $end +$var wire 1 w) sumWire0 $end +$var wire 1 x) sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 y) addr [2:0] $end +$var wire 1 z) andResult1 $end +$var wire 1 {) andResult2 $end +$var wire 8 |) inputs [7:0] $end +$var wire 1 }) nS2 $end +$var wire 1 i) out $end +$var wire 1 ~) result1 $end +$var wire 1 !* result2 $end +$scope module mux1 $end +$var wire 1 "* address0 $end +$var wire 1 #* address1 $end +$var wire 1 $* in0 $end +$var wire 1 %* in1 $end +$var wire 1 &* in2 $end +$var wire 1 '* in3 $end +$var wire 1 (* input0Wire0 $end +$var wire 1 )* input0Wire1 $end +$var wire 1 ** input1Wire0 $end +$var wire 1 +* input1Wire1 $end +$var wire 1 ,* input2Wire0 $end +$var wire 1 -* input2Wire1 $end +$var wire 1 .* input3Wire0 $end +$var wire 1 /* input3Wire1 $end +$var wire 1 0* nA0 $end +$var wire 1 1* nA1 $end +$var wire 1 2* orWire0 $end +$var wire 1 3* orWire1 $end +$var wire 1 ~) out $end +$upscope $end +$scope module mux2 $end +$var wire 1 4* address0 $end +$var wire 1 5* address1 $end +$var wire 1 6* in0 $end +$var wire 1 7* in1 $end +$var wire 1 8* in2 $end +$var wire 1 9* in3 $end +$var wire 1 :* input0Wire0 $end +$var wire 1 ;* input0Wire1 $end +$var wire 1 <* input1Wire0 $end +$var wire 1 =* input1Wire1 $end +$var wire 1 >* input2Wire0 $end +$var wire 1 ?* input2Wire1 $end +$var wire 1 @* input3Wire0 $end +$var wire 1 A* input3Wire1 $end +$var wire 1 B* nA0 $end +$var wire 1 C* nA1 $end +$var wire 1 D* orWire0 $end +$var wire 1 E* orWire1 $end +$var wire 1 !* out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[13] $end +$scope module unit $end +$var wire 1 F* bitA $end +$var wire 1 G* bitB $end +$var wire 1 H* bitR $end +$var wire 1 I* carryin $end +$var wire 1 J* carryout $end +$var wire 1 K* inputB $end +$var wire 1 * invertBflag $end +$var wire 1 L* less $end +$var wire 3 M* muxindex [2:0] $end +$var wire 8 N* muxinput [7:0] $end +$scope module adder $end +$var wire 1 F* a $end +$var wire 1 O* aandb $end +$var wire 1 P* aorb $end +$var wire 1 Q* axorb $end +$var wire 1 K* b $end +$var wire 1 R* carryOutWire $end +$var wire 1 I* carryin $end +$var wire 1 J* carryout $end +$var wire 1 S* nCarryIn $end +$var wire 1 T* notaxorb $end +$var wire 1 U* sum $end +$var wire 1 V* sumWire0 $end +$var wire 1 W* sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 X* addr [2:0] $end +$var wire 1 Y* andResult1 $end +$var wire 1 Z* andResult2 $end +$var wire 8 [* inputs [7:0] $end +$var wire 1 \* nS2 $end +$var wire 1 H* out $end +$var wire 1 ]* result1 $end +$var wire 1 ^* result2 $end +$scope module mux1 $end +$var wire 1 _* address0 $end +$var wire 1 `* address1 $end +$var wire 1 a* in0 $end +$var wire 1 b* in1 $end +$var wire 1 c* in2 $end +$var wire 1 d* in3 $end +$var wire 1 e* input0Wire0 $end +$var wire 1 f* input0Wire1 $end +$var wire 1 g* input1Wire0 $end +$var wire 1 h* input1Wire1 $end +$var wire 1 i* input2Wire0 $end +$var wire 1 j* input2Wire1 $end +$var wire 1 k* input3Wire0 $end +$var wire 1 l* input3Wire1 $end +$var wire 1 m* nA0 $end +$var wire 1 n* nA1 $end +$var wire 1 o* orWire0 $end +$var wire 1 p* orWire1 $end +$var wire 1 ]* out $end +$upscope $end +$scope module mux2 $end +$var wire 1 q* address0 $end +$var wire 1 r* address1 $end +$var wire 1 s* in0 $end +$var wire 1 t* in1 $end +$var wire 1 u* in2 $end +$var wire 1 v* in3 $end +$var wire 1 w* input0Wire0 $end +$var wire 1 x* input0Wire1 $end +$var wire 1 y* input1Wire0 $end +$var wire 1 z* input1Wire1 $end +$var wire 1 {* input2Wire0 $end +$var wire 1 |* input2Wire1 $end +$var wire 1 }* input3Wire0 $end +$var wire 1 ~* input3Wire1 $end +$var wire 1 !+ nA0 $end +$var wire 1 "+ nA1 $end +$var wire 1 #+ orWire0 $end +$var wire 1 $+ orWire1 $end +$var wire 1 ^* out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[14] $end +$scope module unit $end +$var wire 1 %+ bitA $end +$var wire 1 &+ bitB $end +$var wire 1 '+ bitR $end +$var wire 1 (+ carryin $end +$var wire 1 )+ carryout $end +$var wire 1 *+ inputB $end +$var wire 1 * invertBflag $end +$var wire 1 ++ less $end +$var wire 3 ,+ muxindex [2:0] $end +$var wire 8 -+ muxinput [7:0] $end +$scope module adder $end +$var wire 1 %+ a $end +$var wire 1 .+ aandb $end +$var wire 1 /+ aorb $end +$var wire 1 0+ axorb $end +$var wire 1 *+ b $end +$var wire 1 1+ carryOutWire $end +$var wire 1 (+ carryin $end +$var wire 1 )+ carryout $end +$var wire 1 2+ nCarryIn $end +$var wire 1 3+ notaxorb $end +$var wire 1 4+ sum $end +$var wire 1 5+ sumWire0 $end +$var wire 1 6+ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 7+ addr [2:0] $end +$var wire 1 8+ andResult1 $end +$var wire 1 9+ andResult2 $end +$var wire 8 :+ inputs [7:0] $end +$var wire 1 ;+ nS2 $end +$var wire 1 '+ out $end +$var wire 1 <+ result1 $end +$var wire 1 =+ result2 $end +$scope module mux1 $end +$var wire 1 >+ address0 $end +$var wire 1 ?+ address1 $end +$var wire 1 @+ in0 $end +$var wire 1 A+ in1 $end +$var wire 1 B+ in2 $end +$var wire 1 C+ in3 $end +$var wire 1 D+ input0Wire0 $end +$var wire 1 E+ input0Wire1 $end +$var wire 1 F+ input1Wire0 $end +$var wire 1 G+ input1Wire1 $end +$var wire 1 H+ input2Wire0 $end +$var wire 1 I+ input2Wire1 $end +$var wire 1 J+ input3Wire0 $end +$var wire 1 K+ input3Wire1 $end +$var wire 1 L+ nA0 $end +$var wire 1 M+ nA1 $end +$var wire 1 N+ orWire0 $end +$var wire 1 O+ orWire1 $end +$var wire 1 <+ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 P+ address0 $end +$var wire 1 Q+ address1 $end +$var wire 1 R+ in0 $end +$var wire 1 S+ in1 $end +$var wire 1 T+ in2 $end +$var wire 1 U+ in3 $end +$var wire 1 V+ input0Wire0 $end +$var wire 1 W+ input0Wire1 $end +$var wire 1 X+ input1Wire0 $end +$var wire 1 Y+ input1Wire1 $end +$var wire 1 Z+ input2Wire0 $end +$var wire 1 [+ input2Wire1 $end +$var wire 1 \+ input3Wire0 $end +$var wire 1 ]+ input3Wire1 $end +$var wire 1 ^+ nA0 $end +$var wire 1 _+ nA1 $end +$var wire 1 `+ orWire0 $end +$var wire 1 a+ orWire1 $end +$var wire 1 =+ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[15] $end +$scope module unit $end +$var wire 1 b+ bitA $end +$var wire 1 c+ bitB $end +$var wire 1 d+ bitR $end +$var wire 1 e+ carryin $end +$var wire 1 f+ carryout $end +$var wire 1 g+ inputB $end +$var wire 1 * invertBflag $end +$var wire 1 h+ less $end +$var wire 3 i+ muxindex [2:0] $end +$var wire 8 j+ muxinput [7:0] $end +$scope module adder $end +$var wire 1 b+ a $end +$var wire 1 k+ aandb $end +$var wire 1 l+ aorb $end +$var wire 1 m+ axorb $end +$var wire 1 g+ b $end +$var wire 1 n+ carryOutWire $end +$var wire 1 e+ carryin $end +$var wire 1 f+ carryout $end +$var wire 1 o+ nCarryIn $end +$var wire 1 p+ notaxorb $end +$var wire 1 q+ sum $end +$var wire 1 r+ sumWire0 $end +$var wire 1 s+ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 t+ addr [2:0] $end +$var wire 1 u+ andResult1 $end +$var wire 1 v+ andResult2 $end +$var wire 8 w+ inputs [7:0] $end +$var wire 1 x+ nS2 $end +$var wire 1 d+ out $end +$var wire 1 y+ result1 $end +$var wire 1 z+ result2 $end +$scope module mux1 $end +$var wire 1 {+ address0 $end +$var wire 1 |+ address1 $end +$var wire 1 }+ in0 $end +$var wire 1 ~+ in1 $end +$var wire 1 !, in2 $end +$var wire 1 ", in3 $end +$var wire 1 #, input0Wire0 $end +$var wire 1 $, input0Wire1 $end +$var wire 1 %, input1Wire0 $end +$var wire 1 &, input1Wire1 $end +$var wire 1 ', input2Wire0 $end +$var wire 1 (, input2Wire1 $end +$var wire 1 ), input3Wire0 $end +$var wire 1 *, input3Wire1 $end +$var wire 1 +, nA0 $end +$var wire 1 ,, nA1 $end +$var wire 1 -, orWire0 $end +$var wire 1 ., orWire1 $end +$var wire 1 y+ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 /, address0 $end +$var wire 1 0, address1 $end +$var wire 1 1, in0 $end +$var wire 1 2, in1 $end +$var wire 1 3, in2 $end +$var wire 1 4, in3 $end +$var wire 1 5, input0Wire0 $end +$var wire 1 6, input0Wire1 $end +$var wire 1 7, input1Wire0 $end +$var wire 1 8, input1Wire1 $end +$var wire 1 9, input2Wire0 $end +$var wire 1 :, input2Wire1 $end +$var wire 1 ;, input3Wire0 $end +$var wire 1 <, input3Wire1 $end +$var wire 1 =, nA0 $end +$var wire 1 >, nA1 $end +$var wire 1 ?, orWire0 $end +$var wire 1 @, orWire1 $end +$var wire 1 z+ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[16] $end +$scope module unit $end +$var wire 1 A, bitA $end +$var wire 1 B, bitB $end +$var wire 1 C, bitR $end +$var wire 1 D, carryin $end +$var wire 1 E, carryout $end +$var wire 1 F, inputB $end +$var wire 1 * invertBflag $end +$var wire 1 G, less $end +$var wire 3 H, muxindex [2:0] $end +$var wire 8 I, muxinput [7:0] $end +$scope module adder $end +$var wire 1 A, a $end +$var wire 1 J, aandb $end +$var wire 1 K, aorb $end +$var wire 1 L, axorb $end +$var wire 1 F, b $end +$var wire 1 M, carryOutWire $end +$var wire 1 D, carryin $end +$var wire 1 E, carryout $end +$var wire 1 N, nCarryIn $end +$var wire 1 O, notaxorb $end +$var wire 1 P, sum $end +$var wire 1 Q, sumWire0 $end +$var wire 1 R, sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 S, addr [2:0] $end +$var wire 1 T, andResult1 $end +$var wire 1 U, andResult2 $end +$var wire 8 V, inputs [7:0] $end +$var wire 1 W, nS2 $end +$var wire 1 C, out $end +$var wire 1 X, result1 $end +$var wire 1 Y, result2 $end +$scope module mux1 $end +$var wire 1 Z, address0 $end +$var wire 1 [, address1 $end +$var wire 1 \, in0 $end +$var wire 1 ], in1 $end +$var wire 1 ^, in2 $end +$var wire 1 _, in3 $end +$var wire 1 `, input0Wire0 $end +$var wire 1 a, input0Wire1 $end +$var wire 1 b, input1Wire0 $end +$var wire 1 c, input1Wire1 $end +$var wire 1 d, input2Wire0 $end +$var wire 1 e, input2Wire1 $end +$var wire 1 f, input3Wire0 $end +$var wire 1 g, input3Wire1 $end +$var wire 1 h, nA0 $end +$var wire 1 i, nA1 $end +$var wire 1 j, orWire0 $end +$var wire 1 k, orWire1 $end +$var wire 1 X, out $end +$upscope $end +$scope module mux2 $end +$var wire 1 l, address0 $end +$var wire 1 m, address1 $end +$var wire 1 n, in0 $end +$var wire 1 o, in1 $end +$var wire 1 p, in2 $end +$var wire 1 q, in3 $end +$var wire 1 r, input0Wire0 $end +$var wire 1 s, input0Wire1 $end +$var wire 1 t, input1Wire0 $end +$var wire 1 u, input1Wire1 $end +$var wire 1 v, input2Wire0 $end +$var wire 1 w, input2Wire1 $end +$var wire 1 x, input3Wire0 $end +$var wire 1 y, input3Wire1 $end +$var wire 1 z, nA0 $end +$var wire 1 {, nA1 $end +$var wire 1 |, orWire0 $end +$var wire 1 }, orWire1 $end +$var wire 1 Y, out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[17] $end +$scope module unit $end +$var wire 1 ~, bitA $end +$var wire 1 !- bitB $end +$var wire 1 "- bitR $end +$var wire 1 #- carryin $end +$var wire 1 $- carryout $end +$var wire 1 %- inputB $end +$var wire 1 * invertBflag $end +$var wire 1 &- less $end +$var wire 3 '- muxindex [2:0] $end +$var wire 8 (- muxinput [7:0] $end +$scope module adder $end +$var wire 1 ~, a $end +$var wire 1 )- aandb $end +$var wire 1 *- aorb $end +$var wire 1 +- axorb $end +$var wire 1 %- b $end +$var wire 1 ,- carryOutWire $end +$var wire 1 #- carryin $end +$var wire 1 $- carryout $end +$var wire 1 -- nCarryIn $end +$var wire 1 .- notaxorb $end +$var wire 1 /- sum $end +$var wire 1 0- sumWire0 $end +$var wire 1 1- sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 2- addr [2:0] $end +$var wire 1 3- andResult1 $end +$var wire 1 4- andResult2 $end +$var wire 8 5- inputs [7:0] $end +$var wire 1 6- nS2 $end +$var wire 1 "- out $end +$var wire 1 7- result1 $end +$var wire 1 8- result2 $end +$scope module mux1 $end +$var wire 1 9- address0 $end +$var wire 1 :- address1 $end +$var wire 1 ;- in0 $end +$var wire 1 <- in1 $end +$var wire 1 =- in2 $end +$var wire 1 >- in3 $end +$var wire 1 ?- input0Wire0 $end +$var wire 1 @- input0Wire1 $end +$var wire 1 A- input1Wire0 $end +$var wire 1 B- input1Wire1 $end +$var wire 1 C- input2Wire0 $end +$var wire 1 D- input2Wire1 $end +$var wire 1 E- input3Wire0 $end +$var wire 1 F- input3Wire1 $end +$var wire 1 G- nA0 $end +$var wire 1 H- nA1 $end +$var wire 1 I- orWire0 $end +$var wire 1 J- orWire1 $end +$var wire 1 7- out $end +$upscope $end +$scope module mux2 $end +$var wire 1 K- address0 $end +$var wire 1 L- address1 $end +$var wire 1 M- in0 $end +$var wire 1 N- in1 $end +$var wire 1 O- in2 $end +$var wire 1 P- in3 $end +$var wire 1 Q- input0Wire0 $end +$var wire 1 R- input0Wire1 $end +$var wire 1 S- input1Wire0 $end +$var wire 1 T- input1Wire1 $end +$var wire 1 U- input2Wire0 $end +$var wire 1 V- input2Wire1 $end +$var wire 1 W- input3Wire0 $end +$var wire 1 X- input3Wire1 $end +$var wire 1 Y- nA0 $end +$var wire 1 Z- nA1 $end +$var wire 1 [- orWire0 $end +$var wire 1 \- orWire1 $end +$var wire 1 8- out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[18] $end +$scope module unit $end +$var wire 1 ]- bitA $end +$var wire 1 ^- bitB $end +$var wire 1 _- bitR $end +$var wire 1 `- carryin $end +$var wire 1 a- carryout $end +$var wire 1 b- inputB $end +$var wire 1 * invertBflag $end +$var wire 1 c- less $end +$var wire 3 d- muxindex [2:0] $end +$var wire 8 e- muxinput [7:0] $end +$scope module adder $end +$var wire 1 ]- a $end +$var wire 1 f- aandb $end +$var wire 1 g- aorb $end +$var wire 1 h- axorb $end +$var wire 1 b- b $end +$var wire 1 i- carryOutWire $end +$var wire 1 `- carryin $end +$var wire 1 a- carryout $end +$var wire 1 j- nCarryIn $end +$var wire 1 k- notaxorb $end +$var wire 1 l- sum $end +$var wire 1 m- sumWire0 $end +$var wire 1 n- sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 o- addr [2:0] $end +$var wire 1 p- andResult1 $end +$var wire 1 q- andResult2 $end +$var wire 8 r- inputs [7:0] $end +$var wire 1 s- nS2 $end +$var wire 1 _- out $end +$var wire 1 t- result1 $end +$var wire 1 u- result2 $end +$scope module mux1 $end +$var wire 1 v- address0 $end +$var wire 1 w- address1 $end +$var wire 1 x- in0 $end +$var wire 1 y- in1 $end +$var wire 1 z- in2 $end +$var wire 1 {- in3 $end +$var wire 1 |- input0Wire0 $end +$var wire 1 }- input0Wire1 $end +$var wire 1 ~- input1Wire0 $end +$var wire 1 !. input1Wire1 $end +$var wire 1 ". input2Wire0 $end +$var wire 1 #. input2Wire1 $end +$var wire 1 $. input3Wire0 $end +$var wire 1 %. input3Wire1 $end +$var wire 1 &. nA0 $end +$var wire 1 '. nA1 $end +$var wire 1 (. orWire0 $end +$var wire 1 ). orWire1 $end +$var wire 1 t- out $end +$upscope $end +$scope module mux2 $end +$var wire 1 *. address0 $end +$var wire 1 +. address1 $end +$var wire 1 ,. in0 $end +$var wire 1 -. in1 $end +$var wire 1 .. in2 $end +$var wire 1 /. in3 $end +$var wire 1 0. input0Wire0 $end +$var wire 1 1. input0Wire1 $end +$var wire 1 2. input1Wire0 $end +$var wire 1 3. input1Wire1 $end +$var wire 1 4. input2Wire0 $end +$var wire 1 5. input2Wire1 $end +$var wire 1 6. input3Wire0 $end +$var wire 1 7. input3Wire1 $end +$var wire 1 8. nA0 $end +$var wire 1 9. nA1 $end +$var wire 1 :. orWire0 $end +$var wire 1 ;. orWire1 $end +$var wire 1 u- out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[19] $end +$scope module unit $end +$var wire 1 <. bitA $end +$var wire 1 =. bitB $end +$var wire 1 >. bitR $end +$var wire 1 ?. carryin $end +$var wire 1 @. carryout $end +$var wire 1 A. inputB $end +$var wire 1 * invertBflag $end +$var wire 1 B. less $end +$var wire 3 C. muxindex [2:0] $end +$var wire 8 D. muxinput [7:0] $end +$scope module adder $end +$var wire 1 <. a $end +$var wire 1 E. aandb $end +$var wire 1 F. aorb $end +$var wire 1 G. axorb $end +$var wire 1 A. b $end +$var wire 1 H. carryOutWire $end +$var wire 1 ?. carryin $end +$var wire 1 @. carryout $end +$var wire 1 I. nCarryIn $end +$var wire 1 J. notaxorb $end +$var wire 1 K. sum $end +$var wire 1 L. sumWire0 $end +$var wire 1 M. sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 N. addr [2:0] $end +$var wire 1 O. andResult1 $end +$var wire 1 P. andResult2 $end +$var wire 8 Q. inputs [7:0] $end +$var wire 1 R. nS2 $end +$var wire 1 >. out $end +$var wire 1 S. result1 $end +$var wire 1 T. result2 $end +$scope module mux1 $end +$var wire 1 U. address0 $end +$var wire 1 V. address1 $end +$var wire 1 W. in0 $end +$var wire 1 X. in1 $end +$var wire 1 Y. in2 $end +$var wire 1 Z. in3 $end +$var wire 1 [. input0Wire0 $end +$var wire 1 \. input0Wire1 $end +$var wire 1 ]. input1Wire0 $end +$var wire 1 ^. input1Wire1 $end +$var wire 1 _. input2Wire0 $end +$var wire 1 `. input2Wire1 $end +$var wire 1 a. input3Wire0 $end +$var wire 1 b. input3Wire1 $end +$var wire 1 c. nA0 $end +$var wire 1 d. nA1 $end +$var wire 1 e. orWire0 $end +$var wire 1 f. orWire1 $end +$var wire 1 S. out $end +$upscope $end +$scope module mux2 $end +$var wire 1 g. address0 $end +$var wire 1 h. address1 $end +$var wire 1 i. in0 $end +$var wire 1 j. in1 $end +$var wire 1 k. in2 $end +$var wire 1 l. in3 $end +$var wire 1 m. input0Wire0 $end +$var wire 1 n. input0Wire1 $end +$var wire 1 o. input1Wire0 $end +$var wire 1 p. input1Wire1 $end +$var wire 1 q. input2Wire0 $end +$var wire 1 r. input2Wire1 $end +$var wire 1 s. input3Wire0 $end +$var wire 1 t. input3Wire1 $end +$var wire 1 u. nA0 $end +$var wire 1 v. nA1 $end +$var wire 1 w. orWire0 $end +$var wire 1 x. orWire1 $end +$var wire 1 T. out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[20] $end +$scope module unit $end +$var wire 1 y. bitA $end +$var wire 1 z. bitB $end +$var wire 1 {. bitR $end +$var wire 1 |. carryin $end +$var wire 1 }. carryout $end +$var wire 1 ~. inputB $end +$var wire 1 * invertBflag $end +$var wire 1 !/ less $end +$var wire 3 "/ muxindex [2:0] $end +$var wire 8 #/ muxinput [7:0] $end +$scope module adder $end +$var wire 1 y. a $end +$var wire 1 $/ aandb $end +$var wire 1 %/ aorb $end +$var wire 1 &/ axorb $end +$var wire 1 ~. b $end +$var wire 1 '/ carryOutWire $end +$var wire 1 |. carryin $end +$var wire 1 }. carryout $end +$var wire 1 (/ nCarryIn $end +$var wire 1 )/ notaxorb $end +$var wire 1 */ sum $end +$var wire 1 +/ sumWire0 $end +$var wire 1 ,/ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 -/ addr [2:0] $end +$var wire 1 ./ andResult1 $end +$var wire 1 // andResult2 $end +$var wire 8 0/ inputs [7:0] $end +$var wire 1 1/ nS2 $end +$var wire 1 {. out $end +$var wire 1 2/ result1 $end +$var wire 1 3/ result2 $end +$scope module mux1 $end +$var wire 1 4/ address0 $end +$var wire 1 5/ address1 $end +$var wire 1 6/ in0 $end +$var wire 1 7/ in1 $end +$var wire 1 8/ in2 $end +$var wire 1 9/ in3 $end +$var wire 1 :/ input0Wire0 $end +$var wire 1 ;/ input0Wire1 $end +$var wire 1 / input2Wire0 $end +$var wire 1 ?/ input2Wire1 $end +$var wire 1 @/ input3Wire0 $end +$var wire 1 A/ input3Wire1 $end +$var wire 1 B/ nA0 $end +$var wire 1 C/ nA1 $end +$var wire 1 D/ orWire0 $end +$var wire 1 E/ orWire1 $end +$var wire 1 2/ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 F/ address0 $end +$var wire 1 G/ address1 $end +$var wire 1 H/ in0 $end +$var wire 1 I/ in1 $end +$var wire 1 J/ in2 $end +$var wire 1 K/ in3 $end +$var wire 1 L/ input0Wire0 $end +$var wire 1 M/ input0Wire1 $end +$var wire 1 N/ input1Wire0 $end +$var wire 1 O/ input1Wire1 $end +$var wire 1 P/ input2Wire0 $end +$var wire 1 Q/ input2Wire1 $end +$var wire 1 R/ input3Wire0 $end +$var wire 1 S/ input3Wire1 $end +$var wire 1 T/ nA0 $end +$var wire 1 U/ nA1 $end +$var wire 1 V/ orWire0 $end +$var wire 1 W/ orWire1 $end +$var wire 1 3/ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[21] $end +$scope module unit $end +$var wire 1 X/ bitA $end +$var wire 1 Y/ bitB $end +$var wire 1 Z/ bitR $end +$var wire 1 [/ carryin $end +$var wire 1 \/ carryout $end +$var wire 1 ]/ inputB $end +$var wire 1 * invertBflag $end +$var wire 1 ^/ less $end +$var wire 3 _/ muxindex [2:0] $end +$var wire 8 `/ muxinput [7:0] $end +$scope module adder $end +$var wire 1 X/ a $end +$var wire 1 a/ aandb $end +$var wire 1 b/ aorb $end +$var wire 1 c/ axorb $end +$var wire 1 ]/ b $end +$var wire 1 d/ carryOutWire $end +$var wire 1 [/ carryin $end +$var wire 1 \/ carryout $end +$var wire 1 e/ nCarryIn $end +$var wire 1 f/ notaxorb $end +$var wire 1 g/ sum $end +$var wire 1 h/ sumWire0 $end +$var wire 1 i/ sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 j/ addr [2:0] $end +$var wire 1 k/ andResult1 $end +$var wire 1 l/ andResult2 $end +$var wire 8 m/ inputs [7:0] $end +$var wire 1 n/ nS2 $end +$var wire 1 Z/ out $end +$var wire 1 o/ result1 $end +$var wire 1 p/ result2 $end +$scope module mux1 $end +$var wire 1 q/ address0 $end +$var wire 1 r/ address1 $end +$var wire 1 s/ in0 $end +$var wire 1 t/ in1 $end +$var wire 1 u/ in2 $end +$var wire 1 v/ in3 $end +$var wire 1 w/ input0Wire0 $end +$var wire 1 x/ input0Wire1 $end +$var wire 1 y/ input1Wire0 $end +$var wire 1 z/ input1Wire1 $end +$var wire 1 {/ input2Wire0 $end +$var wire 1 |/ input2Wire1 $end +$var wire 1 }/ input3Wire0 $end +$var wire 1 ~/ input3Wire1 $end +$var wire 1 !0 nA0 $end +$var wire 1 "0 nA1 $end +$var wire 1 #0 orWire0 $end +$var wire 1 $0 orWire1 $end +$var wire 1 o/ out $end +$upscope $end +$scope module mux2 $end +$var wire 1 %0 address0 $end +$var wire 1 &0 address1 $end +$var wire 1 '0 in0 $end +$var wire 1 (0 in1 $end +$var wire 1 )0 in2 $end +$var wire 1 *0 in3 $end +$var wire 1 +0 input0Wire0 $end +$var wire 1 ,0 input0Wire1 $end +$var wire 1 -0 input1Wire0 $end +$var wire 1 .0 input1Wire1 $end +$var wire 1 /0 input2Wire0 $end +$var wire 1 00 input2Wire1 $end +$var wire 1 10 input3Wire0 $end +$var wire 1 20 input3Wire1 $end +$var wire 1 30 nA0 $end +$var wire 1 40 nA1 $end +$var wire 1 50 orWire0 $end +$var wire 1 60 orWire1 $end +$var wire 1 p/ out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[22] $end +$scope module unit $end +$var wire 1 70 bitA $end +$var wire 1 80 bitB $end +$var wire 1 90 bitR $end +$var wire 1 :0 carryin $end +$var wire 1 ;0 carryout $end +$var wire 1 <0 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 =0 less $end +$var wire 3 >0 muxindex [2:0] $end +$var wire 8 ?0 muxinput [7:0] $end +$scope module adder $end +$var wire 1 70 a $end +$var wire 1 @0 aandb $end +$var wire 1 A0 aorb $end +$var wire 1 B0 axorb $end +$var wire 1 <0 b $end +$var wire 1 C0 carryOutWire $end +$var wire 1 :0 carryin $end +$var wire 1 ;0 carryout $end +$var wire 1 D0 nCarryIn $end +$var wire 1 E0 notaxorb $end +$var wire 1 F0 sum $end +$var wire 1 G0 sumWire0 $end +$var wire 1 H0 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 I0 addr [2:0] $end +$var wire 1 J0 andResult1 $end +$var wire 1 K0 andResult2 $end +$var wire 8 L0 inputs [7:0] $end +$var wire 1 M0 nS2 $end +$var wire 1 90 out $end +$var wire 1 N0 result1 $end +$var wire 1 O0 result2 $end +$scope module mux1 $end +$var wire 1 P0 address0 $end +$var wire 1 Q0 address1 $end +$var wire 1 R0 in0 $end +$var wire 1 S0 in1 $end +$var wire 1 T0 in2 $end +$var wire 1 U0 in3 $end +$var wire 1 V0 input0Wire0 $end +$var wire 1 W0 input0Wire1 $end +$var wire 1 X0 input1Wire0 $end +$var wire 1 Y0 input1Wire1 $end +$var wire 1 Z0 input2Wire0 $end +$var wire 1 [0 input2Wire1 $end +$var wire 1 \0 input3Wire0 $end +$var wire 1 ]0 input3Wire1 $end +$var wire 1 ^0 nA0 $end +$var wire 1 _0 nA1 $end +$var wire 1 `0 orWire0 $end +$var wire 1 a0 orWire1 $end +$var wire 1 N0 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 b0 address0 $end +$var wire 1 c0 address1 $end +$var wire 1 d0 in0 $end +$var wire 1 e0 in1 $end +$var wire 1 f0 in2 $end +$var wire 1 g0 in3 $end +$var wire 1 h0 input0Wire0 $end +$var wire 1 i0 input0Wire1 $end +$var wire 1 j0 input1Wire0 $end +$var wire 1 k0 input1Wire1 $end +$var wire 1 l0 input2Wire0 $end +$var wire 1 m0 input2Wire1 $end +$var wire 1 n0 input3Wire0 $end +$var wire 1 o0 input3Wire1 $end +$var wire 1 p0 nA0 $end +$var wire 1 q0 nA1 $end +$var wire 1 r0 orWire0 $end +$var wire 1 s0 orWire1 $end +$var wire 1 O0 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[23] $end +$scope module unit $end +$var wire 1 t0 bitA $end +$var wire 1 u0 bitB $end +$var wire 1 v0 bitR $end +$var wire 1 w0 carryin $end +$var wire 1 x0 carryout $end +$var wire 1 y0 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 z0 less $end +$var wire 3 {0 muxindex [2:0] $end +$var wire 8 |0 muxinput [7:0] $end +$scope module adder $end +$var wire 1 t0 a $end +$var wire 1 }0 aandb $end +$var wire 1 ~0 aorb $end +$var wire 1 !1 axorb $end +$var wire 1 y0 b $end +$var wire 1 "1 carryOutWire $end +$var wire 1 w0 carryin $end +$var wire 1 x0 carryout $end +$var wire 1 #1 nCarryIn $end +$var wire 1 $1 notaxorb $end +$var wire 1 %1 sum $end +$var wire 1 &1 sumWire0 $end +$var wire 1 '1 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 (1 addr [2:0] $end +$var wire 1 )1 andResult1 $end +$var wire 1 *1 andResult2 $end +$var wire 8 +1 inputs [7:0] $end +$var wire 1 ,1 nS2 $end +$var wire 1 v0 out $end +$var wire 1 -1 result1 $end +$var wire 1 .1 result2 $end +$scope module mux1 $end +$var wire 1 /1 address0 $end +$var wire 1 01 address1 $end +$var wire 1 11 in0 $end +$var wire 1 21 in1 $end +$var wire 1 31 in2 $end +$var wire 1 41 in3 $end +$var wire 1 51 input0Wire0 $end +$var wire 1 61 input0Wire1 $end +$var wire 1 71 input1Wire0 $end +$var wire 1 81 input1Wire1 $end +$var wire 1 91 input2Wire0 $end +$var wire 1 :1 input2Wire1 $end +$var wire 1 ;1 input3Wire0 $end +$var wire 1 <1 input3Wire1 $end +$var wire 1 =1 nA0 $end +$var wire 1 >1 nA1 $end +$var wire 1 ?1 orWire0 $end +$var wire 1 @1 orWire1 $end +$var wire 1 -1 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 A1 address0 $end +$var wire 1 B1 address1 $end +$var wire 1 C1 in0 $end +$var wire 1 D1 in1 $end +$var wire 1 E1 in2 $end +$var wire 1 F1 in3 $end +$var wire 1 G1 input0Wire0 $end +$var wire 1 H1 input0Wire1 $end +$var wire 1 I1 input1Wire0 $end +$var wire 1 J1 input1Wire1 $end +$var wire 1 K1 input2Wire0 $end +$var wire 1 L1 input2Wire1 $end +$var wire 1 M1 input3Wire0 $end +$var wire 1 N1 input3Wire1 $end +$var wire 1 O1 nA0 $end +$var wire 1 P1 nA1 $end +$var wire 1 Q1 orWire0 $end +$var wire 1 R1 orWire1 $end +$var wire 1 .1 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[24] $end +$scope module unit $end +$var wire 1 S1 bitA $end +$var wire 1 T1 bitB $end +$var wire 1 U1 bitR $end +$var wire 1 V1 carryin $end +$var wire 1 W1 carryout $end +$var wire 1 X1 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 Y1 less $end +$var wire 3 Z1 muxindex [2:0] $end +$var wire 8 [1 muxinput [7:0] $end +$scope module adder $end +$var wire 1 S1 a $end +$var wire 1 \1 aandb $end +$var wire 1 ]1 aorb $end +$var wire 1 ^1 axorb $end +$var wire 1 X1 b $end +$var wire 1 _1 carryOutWire $end +$var wire 1 V1 carryin $end +$var wire 1 W1 carryout $end +$var wire 1 `1 nCarryIn $end +$var wire 1 a1 notaxorb $end +$var wire 1 b1 sum $end +$var wire 1 c1 sumWire0 $end +$var wire 1 d1 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 e1 addr [2:0] $end +$var wire 1 f1 andResult1 $end +$var wire 1 g1 andResult2 $end +$var wire 8 h1 inputs [7:0] $end +$var wire 1 i1 nS2 $end +$var wire 1 U1 out $end +$var wire 1 j1 result1 $end +$var wire 1 k1 result2 $end +$scope module mux1 $end +$var wire 1 l1 address0 $end +$var wire 1 m1 address1 $end +$var wire 1 n1 in0 $end +$var wire 1 o1 in1 $end +$var wire 1 p1 in2 $end +$var wire 1 q1 in3 $end +$var wire 1 r1 input0Wire0 $end +$var wire 1 s1 input0Wire1 $end +$var wire 1 t1 input1Wire0 $end +$var wire 1 u1 input1Wire1 $end +$var wire 1 v1 input2Wire0 $end +$var wire 1 w1 input2Wire1 $end +$var wire 1 x1 input3Wire0 $end +$var wire 1 y1 input3Wire1 $end +$var wire 1 z1 nA0 $end +$var wire 1 {1 nA1 $end +$var wire 1 |1 orWire0 $end +$var wire 1 }1 orWire1 $end +$var wire 1 j1 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 ~1 address0 $end +$var wire 1 !2 address1 $end +$var wire 1 "2 in0 $end +$var wire 1 #2 in1 $end +$var wire 1 $2 in2 $end +$var wire 1 %2 in3 $end +$var wire 1 &2 input0Wire0 $end +$var wire 1 '2 input0Wire1 $end +$var wire 1 (2 input1Wire0 $end +$var wire 1 )2 input1Wire1 $end +$var wire 1 *2 input2Wire0 $end +$var wire 1 +2 input2Wire1 $end +$var wire 1 ,2 input3Wire0 $end +$var wire 1 -2 input3Wire1 $end +$var wire 1 .2 nA0 $end +$var wire 1 /2 nA1 $end +$var wire 1 02 orWire0 $end +$var wire 1 12 orWire1 $end +$var wire 1 k1 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[25] $end +$scope module unit $end +$var wire 1 22 bitA $end +$var wire 1 32 bitB $end +$var wire 1 42 bitR $end +$var wire 1 52 carryin $end +$var wire 1 62 carryout $end +$var wire 1 72 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 82 less $end +$var wire 3 92 muxindex [2:0] $end +$var wire 8 :2 muxinput [7:0] $end +$scope module adder $end +$var wire 1 22 a $end +$var wire 1 ;2 aandb $end +$var wire 1 <2 aorb $end +$var wire 1 =2 axorb $end +$var wire 1 72 b $end +$var wire 1 >2 carryOutWire $end +$var wire 1 52 carryin $end +$var wire 1 62 carryout $end +$var wire 1 ?2 nCarryIn $end +$var wire 1 @2 notaxorb $end +$var wire 1 A2 sum $end +$var wire 1 B2 sumWire0 $end +$var wire 1 C2 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 D2 addr [2:0] $end +$var wire 1 E2 andResult1 $end +$var wire 1 F2 andResult2 $end +$var wire 8 G2 inputs [7:0] $end +$var wire 1 H2 nS2 $end +$var wire 1 42 out $end +$var wire 1 I2 result1 $end +$var wire 1 J2 result2 $end +$scope module mux1 $end +$var wire 1 K2 address0 $end +$var wire 1 L2 address1 $end +$var wire 1 M2 in0 $end +$var wire 1 N2 in1 $end +$var wire 1 O2 in2 $end +$var wire 1 P2 in3 $end +$var wire 1 Q2 input0Wire0 $end +$var wire 1 R2 input0Wire1 $end +$var wire 1 S2 input1Wire0 $end +$var wire 1 T2 input1Wire1 $end +$var wire 1 U2 input2Wire0 $end +$var wire 1 V2 input2Wire1 $end +$var wire 1 W2 input3Wire0 $end +$var wire 1 X2 input3Wire1 $end +$var wire 1 Y2 nA0 $end +$var wire 1 Z2 nA1 $end +$var wire 1 [2 orWire0 $end +$var wire 1 \2 orWire1 $end +$var wire 1 I2 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 ]2 address0 $end +$var wire 1 ^2 address1 $end +$var wire 1 _2 in0 $end +$var wire 1 `2 in1 $end +$var wire 1 a2 in2 $end +$var wire 1 b2 in3 $end +$var wire 1 c2 input0Wire0 $end +$var wire 1 d2 input0Wire1 $end +$var wire 1 e2 input1Wire0 $end +$var wire 1 f2 input1Wire1 $end +$var wire 1 g2 input2Wire0 $end +$var wire 1 h2 input2Wire1 $end +$var wire 1 i2 input3Wire0 $end +$var wire 1 j2 input3Wire1 $end +$var wire 1 k2 nA0 $end +$var wire 1 l2 nA1 $end +$var wire 1 m2 orWire0 $end +$var wire 1 n2 orWire1 $end +$var wire 1 J2 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[26] $end +$scope module unit $end +$var wire 1 o2 bitA $end +$var wire 1 p2 bitB $end +$var wire 1 q2 bitR $end +$var wire 1 r2 carryin $end +$var wire 1 s2 carryout $end +$var wire 1 t2 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 u2 less $end +$var wire 3 v2 muxindex [2:0] $end +$var wire 8 w2 muxinput [7:0] $end +$scope module adder $end +$var wire 1 o2 a $end +$var wire 1 x2 aandb $end +$var wire 1 y2 aorb $end +$var wire 1 z2 axorb $end +$var wire 1 t2 b $end +$var wire 1 {2 carryOutWire $end +$var wire 1 r2 carryin $end +$var wire 1 s2 carryout $end +$var wire 1 |2 nCarryIn $end +$var wire 1 }2 notaxorb $end +$var wire 1 ~2 sum $end +$var wire 1 !3 sumWire0 $end +$var wire 1 "3 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 #3 addr [2:0] $end +$var wire 1 $3 andResult1 $end +$var wire 1 %3 andResult2 $end +$var wire 8 &3 inputs [7:0] $end +$var wire 1 '3 nS2 $end +$var wire 1 q2 out $end +$var wire 1 (3 result1 $end +$var wire 1 )3 result2 $end +$scope module mux1 $end +$var wire 1 *3 address0 $end +$var wire 1 +3 address1 $end +$var wire 1 ,3 in0 $end +$var wire 1 -3 in1 $end +$var wire 1 .3 in2 $end +$var wire 1 /3 in3 $end +$var wire 1 03 input0Wire0 $end +$var wire 1 13 input0Wire1 $end +$var wire 1 23 input1Wire0 $end +$var wire 1 33 input1Wire1 $end +$var wire 1 43 input2Wire0 $end +$var wire 1 53 input2Wire1 $end +$var wire 1 63 input3Wire0 $end +$var wire 1 73 input3Wire1 $end +$var wire 1 83 nA0 $end +$var wire 1 93 nA1 $end +$var wire 1 :3 orWire0 $end +$var wire 1 ;3 orWire1 $end +$var wire 1 (3 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 <3 address0 $end +$var wire 1 =3 address1 $end +$var wire 1 >3 in0 $end +$var wire 1 ?3 in1 $end +$var wire 1 @3 in2 $end +$var wire 1 A3 in3 $end +$var wire 1 B3 input0Wire0 $end +$var wire 1 C3 input0Wire1 $end +$var wire 1 D3 input1Wire0 $end +$var wire 1 E3 input1Wire1 $end +$var wire 1 F3 input2Wire0 $end +$var wire 1 G3 input2Wire1 $end +$var wire 1 H3 input3Wire0 $end +$var wire 1 I3 input3Wire1 $end +$var wire 1 J3 nA0 $end +$var wire 1 K3 nA1 $end +$var wire 1 L3 orWire0 $end +$var wire 1 M3 orWire1 $end +$var wire 1 )3 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[27] $end +$scope module unit $end +$var wire 1 N3 bitA $end +$var wire 1 O3 bitB $end +$var wire 1 P3 bitR $end +$var wire 1 Q3 carryin $end +$var wire 1 R3 carryout $end +$var wire 1 S3 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 T3 less $end +$var wire 3 U3 muxindex [2:0] $end +$var wire 8 V3 muxinput [7:0] $end +$scope module adder $end +$var wire 1 N3 a $end +$var wire 1 W3 aandb $end +$var wire 1 X3 aorb $end +$var wire 1 Y3 axorb $end +$var wire 1 S3 b $end +$var wire 1 Z3 carryOutWire $end +$var wire 1 Q3 carryin $end +$var wire 1 R3 carryout $end +$var wire 1 [3 nCarryIn $end +$var wire 1 \3 notaxorb $end +$var wire 1 ]3 sum $end +$var wire 1 ^3 sumWire0 $end +$var wire 1 _3 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 `3 addr [2:0] $end +$var wire 1 a3 andResult1 $end +$var wire 1 b3 andResult2 $end +$var wire 8 c3 inputs [7:0] $end +$var wire 1 d3 nS2 $end +$var wire 1 P3 out $end +$var wire 1 e3 result1 $end +$var wire 1 f3 result2 $end +$scope module mux1 $end +$var wire 1 g3 address0 $end +$var wire 1 h3 address1 $end +$var wire 1 i3 in0 $end +$var wire 1 j3 in1 $end +$var wire 1 k3 in2 $end +$var wire 1 l3 in3 $end +$var wire 1 m3 input0Wire0 $end +$var wire 1 n3 input0Wire1 $end +$var wire 1 o3 input1Wire0 $end +$var wire 1 p3 input1Wire1 $end +$var wire 1 q3 input2Wire0 $end +$var wire 1 r3 input2Wire1 $end +$var wire 1 s3 input3Wire0 $end +$var wire 1 t3 input3Wire1 $end +$var wire 1 u3 nA0 $end +$var wire 1 v3 nA1 $end +$var wire 1 w3 orWire0 $end +$var wire 1 x3 orWire1 $end +$var wire 1 e3 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 y3 address0 $end +$var wire 1 z3 address1 $end +$var wire 1 {3 in0 $end +$var wire 1 |3 in1 $end +$var wire 1 }3 in2 $end +$var wire 1 ~3 in3 $end +$var wire 1 !4 input0Wire0 $end +$var wire 1 "4 input0Wire1 $end +$var wire 1 #4 input1Wire0 $end +$var wire 1 $4 input1Wire1 $end +$var wire 1 %4 input2Wire0 $end +$var wire 1 &4 input2Wire1 $end +$var wire 1 '4 input3Wire0 $end +$var wire 1 (4 input3Wire1 $end +$var wire 1 )4 nA0 $end +$var wire 1 *4 nA1 $end +$var wire 1 +4 orWire0 $end +$var wire 1 ,4 orWire1 $end +$var wire 1 f3 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[28] $end +$scope module unit $end +$var wire 1 -4 bitA $end +$var wire 1 .4 bitB $end +$var wire 1 /4 bitR $end +$var wire 1 04 carryin $end +$var wire 1 14 carryout $end +$var wire 1 24 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 34 less $end +$var wire 3 44 muxindex [2:0] $end +$var wire 8 54 muxinput [7:0] $end +$scope module adder $end +$var wire 1 -4 a $end +$var wire 1 64 aandb $end +$var wire 1 74 aorb $end +$var wire 1 84 axorb $end +$var wire 1 24 b $end +$var wire 1 94 carryOutWire $end +$var wire 1 04 carryin $end +$var wire 1 14 carryout $end +$var wire 1 :4 nCarryIn $end +$var wire 1 ;4 notaxorb $end +$var wire 1 <4 sum $end +$var wire 1 =4 sumWire0 $end +$var wire 1 >4 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 ?4 addr [2:0] $end +$var wire 1 @4 andResult1 $end +$var wire 1 A4 andResult2 $end +$var wire 8 B4 inputs [7:0] $end +$var wire 1 C4 nS2 $end +$var wire 1 /4 out $end +$var wire 1 D4 result1 $end +$var wire 1 E4 result2 $end +$scope module mux1 $end +$var wire 1 F4 address0 $end +$var wire 1 G4 address1 $end +$var wire 1 H4 in0 $end +$var wire 1 I4 in1 $end +$var wire 1 J4 in2 $end +$var wire 1 K4 in3 $end +$var wire 1 L4 input0Wire0 $end +$var wire 1 M4 input0Wire1 $end +$var wire 1 N4 input1Wire0 $end +$var wire 1 O4 input1Wire1 $end +$var wire 1 P4 input2Wire0 $end +$var wire 1 Q4 input2Wire1 $end +$var wire 1 R4 input3Wire0 $end +$var wire 1 S4 input3Wire1 $end +$var wire 1 T4 nA0 $end +$var wire 1 U4 nA1 $end +$var wire 1 V4 orWire0 $end +$var wire 1 W4 orWire1 $end +$var wire 1 D4 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 X4 address0 $end +$var wire 1 Y4 address1 $end +$var wire 1 Z4 in0 $end +$var wire 1 [4 in1 $end +$var wire 1 \4 in2 $end +$var wire 1 ]4 in3 $end +$var wire 1 ^4 input0Wire0 $end +$var wire 1 _4 input0Wire1 $end +$var wire 1 `4 input1Wire0 $end +$var wire 1 a4 input1Wire1 $end +$var wire 1 b4 input2Wire0 $end +$var wire 1 c4 input2Wire1 $end +$var wire 1 d4 input3Wire0 $end +$var wire 1 e4 input3Wire1 $end +$var wire 1 f4 nA0 $end +$var wire 1 g4 nA1 $end +$var wire 1 h4 orWire0 $end +$var wire 1 i4 orWire1 $end +$var wire 1 E4 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[29] $end +$scope module unit $end +$var wire 1 j4 bitA $end +$var wire 1 k4 bitB $end +$var wire 1 l4 bitR $end +$var wire 1 m4 carryin $end +$var wire 1 n4 carryout $end +$var wire 1 o4 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 p4 less $end +$var wire 3 q4 muxindex [2:0] $end +$var wire 8 r4 muxinput [7:0] $end +$scope module adder $end +$var wire 1 j4 a $end +$var wire 1 s4 aandb $end +$var wire 1 t4 aorb $end +$var wire 1 u4 axorb $end +$var wire 1 o4 b $end +$var wire 1 v4 carryOutWire $end +$var wire 1 m4 carryin $end +$var wire 1 n4 carryout $end +$var wire 1 w4 nCarryIn $end +$var wire 1 x4 notaxorb $end +$var wire 1 y4 sum $end +$var wire 1 z4 sumWire0 $end +$var wire 1 {4 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 |4 addr [2:0] $end +$var wire 1 }4 andResult1 $end +$var wire 1 ~4 andResult2 $end +$var wire 8 !5 inputs [7:0] $end +$var wire 1 "5 nS2 $end +$var wire 1 l4 out $end +$var wire 1 #5 result1 $end +$var wire 1 $5 result2 $end +$scope module mux1 $end +$var wire 1 %5 address0 $end +$var wire 1 &5 address1 $end +$var wire 1 '5 in0 $end +$var wire 1 (5 in1 $end +$var wire 1 )5 in2 $end +$var wire 1 *5 in3 $end +$var wire 1 +5 input0Wire0 $end +$var wire 1 ,5 input0Wire1 $end +$var wire 1 -5 input1Wire0 $end +$var wire 1 .5 input1Wire1 $end +$var wire 1 /5 input2Wire0 $end +$var wire 1 05 input2Wire1 $end +$var wire 1 15 input3Wire0 $end +$var wire 1 25 input3Wire1 $end +$var wire 1 35 nA0 $end +$var wire 1 45 nA1 $end +$var wire 1 55 orWire0 $end +$var wire 1 65 orWire1 $end +$var wire 1 #5 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 75 address0 $end +$var wire 1 85 address1 $end +$var wire 1 95 in0 $end +$var wire 1 :5 in1 $end +$var wire 1 ;5 in2 $end +$var wire 1 <5 in3 $end +$var wire 1 =5 input0Wire0 $end +$var wire 1 >5 input0Wire1 $end +$var wire 1 ?5 input1Wire0 $end +$var wire 1 @5 input1Wire1 $end +$var wire 1 A5 input2Wire0 $end +$var wire 1 B5 input2Wire1 $end +$var wire 1 C5 input3Wire0 $end +$var wire 1 D5 input3Wire1 $end +$var wire 1 E5 nA0 $end +$var wire 1 F5 nA1 $end +$var wire 1 G5 orWire0 $end +$var wire 1 H5 orWire1 $end +$var wire 1 $5 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_alu_unit[30] $end +$scope module unit $end +$var wire 1 I5 bitA $end +$var wire 1 J5 bitB $end +$var wire 1 K5 bitR $end +$var wire 1 L5 carryin $end +$var wire 1 M5 carryout $end +$var wire 1 N5 inputB $end +$var wire 1 * invertBflag $end +$var wire 1 O5 less $end +$var wire 3 P5 muxindex [2:0] $end +$var wire 8 Q5 muxinput [7:0] $end +$scope module adder $end +$var wire 1 I5 a $end +$var wire 1 R5 aandb $end +$var wire 1 S5 aorb $end +$var wire 1 T5 axorb $end +$var wire 1 N5 b $end +$var wire 1 U5 carryOutWire $end +$var wire 1 L5 carryin $end +$var wire 1 M5 carryout $end +$var wire 1 V5 nCarryIn $end +$var wire 1 W5 notaxorb $end +$var wire 1 X5 sum $end +$var wire 1 Y5 sumWire0 $end +$var wire 1 Z5 sumWire1 $end +$upscope $end +$scope module mux $end +$var wire 3 [5 addr [2:0] $end +$var wire 1 \5 andResult1 $end +$var wire 1 ]5 andResult2 $end +$var wire 8 ^5 inputs [7:0] $end +$var wire 1 _5 nS2 $end +$var wire 1 K5 out $end +$var wire 1 `5 result1 $end +$var wire 1 a5 result2 $end +$scope module mux1 $end +$var wire 1 b5 address0 $end +$var wire 1 c5 address1 $end +$var wire 1 d5 in0 $end +$var wire 1 e5 in1 $end +$var wire 1 f5 in2 $end +$var wire 1 g5 in3 $end +$var wire 1 h5 input0Wire0 $end +$var wire 1 i5 input0Wire1 $end +$var wire 1 j5 input1Wire0 $end +$var wire 1 k5 input1Wire1 $end +$var wire 1 l5 input2Wire0 $end +$var wire 1 m5 input2Wire1 $end +$var wire 1 n5 input3Wire0 $end +$var wire 1 o5 input3Wire1 $end +$var wire 1 p5 nA0 $end +$var wire 1 q5 nA1 $end +$var wire 1 r5 orWire0 $end +$var wire 1 s5 orWire1 $end +$var wire 1 `5 out $end +$upscope $end +$scope module mux2 $end +$var wire 1 t5 address0 $end +$var wire 1 u5 address1 $end +$var wire 1 v5 in0 $end +$var wire 1 w5 in1 $end +$var wire 1 x5 in2 $end +$var wire 1 y5 in3 $end +$var wire 1 z5 input0Wire0 $end +$var wire 1 {5 input0Wire1 $end +$var wire 1 |5 input1Wire0 $end +$var wire 1 }5 input1Wire1 $end +$var wire 1 ~5 input2Wire0 $end +$var wire 1 !6 input2Wire1 $end +$var wire 1 "6 input3Wire0 $end +$var wire 1 #6 input3Wire1 $end +$var wire 1 $6 nA0 $end +$var wire 1 %6 nA1 $end +$var wire 1 &6 orWire0 $end +$var wire 1 '6 orWire1 $end +$var wire 1 a5 out $end +$upscope $end +$upscope $end +$upscope $end +$upscope $end +$scope begin generate_inverted_result[2] $end +$upscope $end +$scope begin generate_inverted_result[3] $end +$upscope $end +$scope begin generate_inverted_result[4] $end +$upscope $end +$scope begin generate_inverted_result[5] $end +$upscope $end +$scope begin generate_inverted_result[6] $end +$upscope $end +$scope begin generate_inverted_result[7] $end +$upscope $end +$scope begin generate_inverted_result[8] $end +$upscope $end +$scope begin generate_inverted_result[9] $end +$upscope $end +$scope begin generate_inverted_result[10] $end +$upscope $end +$scope begin generate_inverted_result[11] $end +$upscope $end +$scope begin generate_inverted_result[12] $end +$upscope $end +$scope begin generate_inverted_result[13] $end +$upscope $end +$scope begin generate_inverted_result[14] $end +$upscope $end +$scope begin generate_inverted_result[15] $end +$upscope $end +$scope begin generate_inverted_result[16] $end +$upscope $end +$scope begin generate_inverted_result[17] $end +$upscope $end +$scope begin generate_inverted_result[18] $end +$upscope $end +$scope begin generate_inverted_result[19] $end +$upscope $end +$scope begin generate_inverted_result[20] $end +$upscope $end +$scope begin generate_inverted_result[21] $end +$upscope $end +$scope begin generate_inverted_result[22] $end +$upscope $end +$scope begin generate_inverted_result[23] $end +$upscope $end +$scope begin generate_inverted_result[24] $end +$upscope $end +$scope begin generate_inverted_result[25] $end +$upscope $end +$scope begin generate_inverted_result[26] $end +$upscope $end +$scope begin generate_inverted_result[27] $end +$upscope $end +$scope begin generate_inverted_result[28] $end +$upscope $end +$scope begin generate_inverted_result[29] $end +$upscope $end +$scope begin generate_inverted_result[30] $end +$upscope $end +$scope begin generate_inverted_result[31] $end +$upscope $end +$scope begin generate_zero_flag[1] $end +$upscope $end +$scope begin generate_zero_flag[2] $end +$upscope $end +$scope begin generate_zero_flag[3] $end +$upscope $end +$scope begin generate_zero_flag[4] $end +$upscope $end +$scope begin generate_zero_flag[5] $end +$upscope $end +$scope begin generate_zero_flag[6] $end +$upscope $end +$scope begin generate_zero_flag[7] $end +$upscope $end +$scope begin generate_zero_flag[8] $end +$upscope $end +$scope begin generate_zero_flag[9] $end +$upscope $end +$scope begin generate_zero_flag[10] $end +$upscope $end +$scope begin generate_zero_flag[11] $end +$upscope $end +$scope begin generate_zero_flag[12] $end +$upscope $end +$scope begin generate_zero_flag[13] $end +$upscope $end +$scope begin generate_zero_flag[14] $end +$upscope $end +$scope begin generate_zero_flag[15] $end +$upscope $end +$scope begin generate_zero_flag[16] $end +$upscope $end +$scope begin generate_zero_flag[17] $end +$upscope $end +$scope begin generate_zero_flag[18] $end +$upscope $end +$scope begin generate_zero_flag[19] $end +$upscope $end +$scope begin generate_zero_flag[20] $end +$upscope $end +$scope begin generate_zero_flag[21] $end +$upscope $end +$scope begin generate_zero_flag[22] $end +$upscope $end +$scope begin generate_zero_flag[23] $end +$upscope $end +$scope begin generate_zero_flag[24] $end +$upscope $end +$scope begin generate_zero_flag[25] $end +$upscope $end +$scope begin generate_zero_flag[26] $end +$upscope $end +$scope begin generate_zero_flag[27] $end +$upscope $end +$scope begin generate_zero_flag[28] $end +$upscope $end +$scope begin generate_zero_flag[29] $end +$upscope $end +$scope begin generate_zero_flag[30] $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +x'6 +x&6 +x%6 +x$6 +x#6 +x"6 +x!6 +x~5 +x}5 +x|5 +x{5 +xz5 +xy5 +zx5 +zw5 +xv5 +0u5 +0t5 +xs5 +xr5 +xq5 +xp5 +zo5 +xn5 +xm5 +xl5 +xk5 +xj5 +xi5 +xh5 +0g5 +zf5 +ze5 +xd5 +0c5 +0b5 +xa5 +x`5 +x_5 +bxzzx0zzx ^5 +x]5 +x\5 +b0 [5 +xZ5 +xY5 +xX5 +xW5 +xV5 +xU5 +xT5 +xS5 +zR5 +bxzzx0zzx Q5 +b0 P5 +0O5 +xN5 +xM5 +xL5 +xK5 +0J5 +0I5 +xH5 +xG5 +xF5 +xE5 +xD5 +xC5 +xB5 +xA5 +x@5 +x?5 +x>5 +x=5 +x<5 +z;5 +z:5 +x95 +085 +075 +x65 +x55 +x45 +x35 +z25 +x15 +x05 +x/5 +x.5 +x-5 +x,5 +x+5 +0*5 +z)5 +z(5 +x'5 +0&5 +0%5 +x$5 +x#5 +x"5 +bxzzx0zzx !5 +x~4 +x}4 +b0 |4 +x{4 +xz4 +xy4 +xx4 +xw4 +xv4 +xu4 +xt4 +zs4 +bxzzx0zzx r4 +b0 q4 +0p4 +xo4 +xn4 +xm4 +xl4 +0k4 +0j4 +xi4 +xh4 +xg4 +xf4 +xe4 +xd4 +xc4 +xb4 +xa4 +x`4 +x_4 +x^4 +x]4 +z\4 +z[4 +xZ4 +0Y4 +0X4 +xW4 +xV4 +xU4 +xT4 +zS4 +xR4 +xQ4 +xP4 +xO4 +xN4 +xM4 +xL4 +0K4 +zJ4 +zI4 +xH4 +0G4 +0F4 +xE4 +xD4 +xC4 +bxzzx0zzx B4 +xA4 +x@4 +b0 ?4 +x>4 +x=4 +x<4 +x;4 +x:4 +x94 +x84 +x74 +z64 +bxzzx0zzx 54 +b0 44 +034 +x24 +x14 +x04 +x/4 +0.4 +0-4 +x,4 +x+4 +x*4 +x)4 +x(4 +x'4 +x&4 +x%4 +x$4 +x#4 +x"4 +x!4 +x~3 +z}3 +z|3 +x{3 +0z3 +0y3 +xx3 +xw3 +xv3 +xu3 +zt3 +xs3 +xr3 +xq3 +xp3 +xo3 +xn3 +xm3 +0l3 +zk3 +zj3 +xi3 +0h3 +0g3 +xf3 +xe3 +xd3 +bxzzx0zzx c3 +xb3 +xa3 +b0 `3 +x_3 +x^3 +x]3 +x\3 +x[3 +xZ3 +xY3 +xX3 +zW3 +bxzzx0zzx V3 +b0 U3 +0T3 +xS3 +xR3 +xQ3 +xP3 +0O3 +0N3 +xM3 +xL3 +xK3 +xJ3 +xI3 +xH3 +xG3 +xF3 +xE3 +xD3 +xC3 +xB3 +xA3 +z@3 +z?3 +x>3 +0=3 +0<3 +x;3 +x:3 +x93 +x83 +z73 +x63 +x53 +x43 +x33 +x23 +x13 +x03 +0/3 +z.3 +z-3 +x,3 +0+3 +0*3 +x)3 +x(3 +x'3 +bxzzx0zzx &3 +x%3 +x$3 +b0 #3 +x"3 +x!3 +x~2 +x}2 +x|2 +x{2 +xz2 +xy2 +zx2 +bxzzx0zzx w2 +b0 v2 +0u2 +xt2 +xs2 +xr2 +xq2 +0p2 +0o2 +xn2 +xm2 +xl2 +xk2 +xj2 +xi2 +xh2 +xg2 +xf2 +xe2 +xd2 +xc2 +xb2 +za2 +z`2 +x_2 +0^2 +0]2 +x\2 +x[2 +xZ2 +xY2 +zX2 +xW2 +xV2 +xU2 +xT2 +xS2 +xR2 +xQ2 +0P2 +zO2 +zN2 +xM2 +0L2 +0K2 +xJ2 +xI2 +xH2 +bxzzx0zzx G2 +xF2 +xE2 +b0 D2 +xC2 +xB2 +xA2 +x@2 +x?2 +x>2 +x=2 +x<2 +z;2 +bxzzx0zzx :2 +b0 92 +082 +x72 +x62 +x52 +x42 +032 +022 +x12 +x02 +x/2 +x.2 +x-2 +x,2 +x+2 +x*2 +x)2 +x(2 +x'2 +x&2 +x%2 +z$2 +z#2 +x"2 +0!2 +0~1 +x}1 +x|1 +x{1 +xz1 +zy1 +xx1 +xw1 +xv1 +xu1 +xt1 +xs1 +xr1 +0q1 +zp1 +zo1 +xn1 +0m1 +0l1 +xk1 +xj1 +xi1 +bxzzx0zzx h1 +xg1 +xf1 +b0 e1 +xd1 +xc1 +xb1 +xa1 +x`1 +x_1 +x^1 +x]1 +z\1 +bxzzx0zzx [1 +b0 Z1 +0Y1 +xX1 +xW1 +xV1 +xU1 +0T1 +0S1 +xR1 +xQ1 +xP1 +xO1 +xN1 +xM1 +xL1 +xK1 +xJ1 +xI1 +xH1 +xG1 +xF1 +zE1 +zD1 +xC1 +0B1 +0A1 +x@1 +x?1 +x>1 +x=1 +z<1 +x;1 +x:1 +x91 +x81 +x71 +x61 +x51 +041 +z31 +z21 +x11 +001 +0/1 +x.1 +x-1 +x,1 +bxzzx0zzx +1 +x*1 +x)1 +b0 (1 +x'1 +x&1 +x%1 +x$1 +x#1 +x"1 +x!1 +x~0 +z}0 +bxzzx0zzx |0 +b0 {0 +0z0 +xy0 +xx0 +xw0 +xv0 +0u0 +0t0 +xs0 +xr0 +xq0 +xp0 +xo0 +xn0 +xm0 +xl0 +xk0 +xj0 +xi0 +xh0 +xg0 +zf0 +ze0 +xd0 +0c0 +0b0 +xa0 +x`0 +x_0 +x^0 +z]0 +x\0 +x[0 +xZ0 +xY0 +xX0 +xW0 +xV0 +0U0 +zT0 +zS0 +xR0 +0Q0 +0P0 +xO0 +xN0 +xM0 +bxzzx0zzx L0 +xK0 +xJ0 +b0 I0 +xH0 +xG0 +xF0 +xE0 +xD0 +xC0 +xB0 +xA0 +z@0 +bxzzx0zzx ?0 +b0 >0 +0=0 +x<0 +x;0 +x:0 +x90 +080 +070 +x60 +x50 +x40 +x30 +x20 +x10 +x00 +x/0 +x.0 +x-0 +x,0 +x+0 +x*0 +z)0 +z(0 +x'0 +0&0 +0%0 +x$0 +x#0 +x"0 +x!0 +z~/ +x}/ +x|/ +x{/ +xz/ +xy/ +xx/ +xw/ +0v/ +zu/ +zt/ +xs/ +0r/ +0q/ +xp/ +xo/ +xn/ +bxzzx0zzx m/ +xl/ +xk/ +b0 j/ +xi/ +xh/ +xg/ +xf/ +xe/ +xd/ +xc/ +xb/ +za/ +bxzzx0zzx `/ +b0 _/ +0^/ +x]/ +x\/ +x[/ +xZ/ +0Y/ +0X/ +xW/ +xV/ +xU/ +xT/ +xS/ +xR/ +xQ/ +xP/ +xO/ +xN/ +xM/ +xL/ +xK/ +zJ/ +zI/ +xH/ +0G/ +0F/ +xE/ +xD/ +xC/ +xB/ +zA/ +x@/ +x?/ +x>/ +x=/ +x. +0=. +0<. +x;. +x:. +x9. +x8. +x7. +x6. +x5. +x4. +x3. +x2. +x1. +x0. +x/. +z.. +z-. +x,. +0+. +0*. +x). +x(. +x'. +x&. +z%. +x$. +x#. +x". +x!. +x~- +x}- +x|- +0{- +zz- +zy- +xx- +0w- +0v- +xu- +xt- +xs- +bxzzx0zzx r- +xq- +xp- +b0 o- +xn- +xm- +xl- +xk- +xj- +xi- +xh- +xg- +zf- +bxzzx0zzx e- +b0 d- +0c- +xb- +xa- +x`- +x_- +0^- +0]- +x\- +x[- +xZ- +xY- +xX- +xW- +xV- +xU- +xT- +xS- +xR- +xQ- +xP- +zO- +zN- +xM- +0L- +0K- +xJ- +xI- +xH- +xG- +zF- +xE- +xD- +xC- +xB- +xA- +x@- +x?- +0>- +z=- +z<- +x;- +0:- +09- +x8- +x7- +x6- +bxzzx0zzx 5- +x4- +x3- +b0 2- +x1- +x0- +x/- +x.- +x-- +x,- +x+- +x*- +z)- +bxzzx0zzx (- +b0 '- +0&- +x%- +x$- +x#- +x"- +0!- +0~, +x}, +x|, +x{, +xz, +xy, +xx, +xw, +xv, +xu, +xt, +xs, +xr, +xq, +zp, +zo, +xn, +0m, +0l, +xk, +xj, +xi, +xh, +zg, +xf, +xe, +xd, +xc, +xb, +xa, +x`, +0_, +z^, +z], +x\, +0[, +0Z, +xY, +xX, +xW, +bxzzx0zzx V, +xU, +xT, +b0 S, +xR, +xQ, +xP, +xO, +xN, +xM, +xL, +xK, +zJ, +bxzzx0zzx I, +b0 H, +0G, +xF, +xE, +xD, +xC, +0B, +0A, +x@, +x?, +x>, +x=, +x<, +x;, +x:, +x9, +x8, +x7, +x6, +x5, +x4, +z3, +z2, +x1, +00, +0/, +x., +x-, +x,, +x+, +z*, +x), +x(, +x', +x&, +x%, +x$, +x#, +0", +z!, +z~+ +x}+ +0|+ +0{+ +xz+ +xy+ +xx+ +bxzzx0zzx w+ +xv+ +xu+ +b0 t+ +xs+ +xr+ +xq+ +xp+ +xo+ +xn+ +xm+ +xl+ +zk+ +bxzzx0zzx j+ +b0 i+ +0h+ +xg+ +xf+ +xe+ +xd+ +0c+ +0b+ +xa+ +x`+ +x_+ +x^+ +x]+ +x\+ +x[+ +xZ+ +xY+ +xX+ +xW+ +xV+ +xU+ +zT+ +zS+ +xR+ +0Q+ +0P+ +xO+ +xN+ +xM+ +xL+ +zK+ +xJ+ +xI+ +xH+ +xG+ +xF+ +xE+ +xD+ +0C+ +zB+ +zA+ +x@+ +0?+ +0>+ +x=+ +x<+ +x;+ +bxzzx0zzx :+ +x9+ +x8+ +b0 7+ +x6+ +x5+ +x4+ +x3+ +x2+ +x1+ +x0+ +x/+ +z.+ +bxzzx0zzx -+ +b0 ,+ +0++ +x*+ +x)+ +x(+ +x'+ +0&+ +0%+ +x$+ +x#+ +x"+ +x!+ +x~* +x}* +x|* +x{* +xz* +xy* +xx* +xw* +xv* +zu* +zt* +xs* +0r* +0q* +xp* +xo* +xn* +xm* +zl* +xk* +xj* +xi* +xh* +xg* +xf* +xe* +0d* +zc* +zb* +xa* +0`* +0_* +x^* +x]* +x\* +bxzzx0zzx [* +xZ* +xY* +b0 X* +xW* +xV* +xU* +xT* +xS* +xR* +xQ* +xP* +zO* +bxzzx0zzx N* +b0 M* +0L* +xK* +xJ* +xI* +xH* +0G* +0F* +xE* +xD* +xC* +xB* +xA* +x@* +x?* +x>* +x=* +x<* +x;* +x:* +x9* +z8* +z7* +x6* +05* +04* +x3* +x2* +x1* +x0* +z/* +x.* +x-* +x,* +x+* +x** +x)* +x(* +0'* +z&* +z%* +x$* +0#* +0"* +x!* +x~) +x}) +bxzzx0zzx |) +x{) +xz) +b0 y) +xx) +xw) +xv) +xu) +xt) +xs) +xr) +xq) +zp) +bxzzx0zzx o) +b0 n) +0m) +xl) +xk) +xj) +xi) +0h) +0g) +xf) +xe) +xd) +xc) +xb) +xa) +x`) +x_) +x^) +x]) +x\) +x[) +xZ) +zY) +zX) +xW) +0V) +0U) +xT) +xS) +xR) +xQ) +zP) +xO) +xN) +xM) +xL) +xK) +xJ) +xI) +0H) +zG) +zF) +xE) +0D) +0C) +xB) +xA) +x@) +bxzzx0zzx ?) +x>) +x=) +b0 <) +x;) +x:) +x9) +x8) +x7) +x6) +x5) +x4) +z3) +bxzzx0zzx 2) +b0 1) +00) +x/) +x.) +x-) +x,) +0+) +0*) +x)) +x() +x') +x&) +x%) +x$) +x#) +x") +x!) +x~( +x}( +x|( +x{( +zz( +zy( +xx( +0w( +0v( +xu( +xt( +xs( +xr( +zq( +xp( +xo( +xn( +xm( +xl( +xk( +xj( +0i( +zh( +zg( +xf( +0e( +0d( +xc( +xb( +xa( +bxzzx0zzx `( +x_( +x^( +b0 ]( +x\( +x[( +xZ( +xY( +xX( +xW( +xV( +xU( +zT( +bxzzx0zzx S( +b0 R( +0Q( +xP( +xO( +xN( +xM( +0L( +0K( +xJ( +xI( +xH( +xG( +xF( +xE( +xD( +xC( +xB( +xA( +x@( +x?( +x>( +z=( +z<( +x;( +0:( +09( +x8( +x7( +x6( +x5( +z4( +x3( +x2( +x1( +x0( +x/( +x.( +x-( +0,( +z+( +z*( +x)( +0(( +0'( +x&( +x%( +x$( +bxzzx0zzx #( +x"( +x!( +b0 ~' +x}' +x|' +x{' +xz' +xy' +xx' +xw' +xv' +zu' +bxzzx0zzx t' +b0 s' +0r' +xq' +xp' +xo' +xn' +0m' +0l' +xk' +xj' +xi' +xh' +xg' +xf' +xe' +xd' +xc' +xb' +xa' +x`' +x_' +z^' +z]' +x\' +0[' +0Z' +xY' +xX' +xW' +xV' +zU' +xT' +xS' +xR' +xQ' +xP' +xO' +xN' +0M' +zL' +zK' +xJ' +0I' +0H' +xG' +xF' +xE' +bxzzx0zzx D' +xC' +xB' +b0 A' +x@' +x?' +x>' +x=' +x<' +x;' +x:' +x9' +z8' +bxzzx0zzx 7' +b0 6' +05' +x4' +x3' +x2' +x1' +00' +0/' +x.' +x-' +x,' +x+' +x*' +x)' +x(' +x'' +x&' +x%' +x$' +x#' +x"' +z!' +z~& +x}& +0|& +0{& +xz& +xy& +xx& +xw& +zv& +xu& +xt& +xs& +xr& +xq& +xp& +xo& +0n& +zm& +zl& +xk& +0j& +0i& +xh& +xg& +xf& +bxzzx0zzx e& +xd& +xc& +b0 b& +xa& +x`& +x_& +x^& +x]& +x\& +x[& +xZ& +zY& +bxzzx0zzx X& +b0 W& +0V& +xU& +xT& +xS& +xR& +0Q& +0P& +xO& +xN& +xM& +xL& +xK& +xJ& +xI& +xH& +xG& +xF& +xE& +xD& +xC& +zB& +zA& +x@& +0?& +0>& +x=& +x<& +x;& +x:& +z9& +x8& +x7& +x6& +x5& +x4& +x3& +x2& +01& +z0& +z/& +x.& +0-& +0,& +x+& +x*& +x)& +bxzzx0zzx (& +x'& +x&& +b0 %& +x$& +x#& +x"& +x!& +x~% +x}% +x|% +x{% +zz% +bxzzx0zzx y% +b0 x% +0w% +xv% +xu% +xt% +xs% +0r% +0q% +xp% +xo% +xn% +xm% +xl% +xk% +xj% +xi% +xh% +xg% +xf% +xe% +xd% +zc% +zb% +xa% +0`% +0_% +x^% +x]% +x\% +x[% +zZ% +xY% +xX% +xW% +xV% +xU% +xT% +xS% +0R% +zQ% +zP% +xO% +0N% +0M% +xL% +xK% +xJ% +bxzzx0zzx I% +xH% +xG% +b0 F% +xE% +xD% +xC% +xB% +xA% +x@% +x?% +x>% +z=% +bxzzx0zzx <% +b0 ;% +0:% +x9% +x8% +x7% +x6% +05% +04% +x3% +x2% +x1% +x0% +x/% +x.% +x-% +x,% +x+% +x*% +x)% +x(% +x'% +z&% +z%% +x$% +0#% +0"% +x!% +x~$ +x}$ +x|$ +z{$ +xz$ +xy$ +xx$ +xw$ +xv$ +xu$ +xt$ +0s$ +zr$ +zq$ +xp$ +0o$ +0n$ +xm$ +xl$ +xk$ +bxzzx0zzx j$ +xi$ +xh$ +b0 g$ +xf$ +xe$ +xd$ +xc$ +xb$ +xa$ +x`$ +x_$ +z^$ +bxzzx0zzx ]$ +b0 \$ +0[$ +xZ$ +xY$ +xX$ +xW$ +0V$ +0U$ +xT$ +xS$ +xR$ +xQ$ +xP$ +xO$ +xN$ +xM$ +xL$ +xK$ +xJ$ +xI$ +xH$ +zG$ +zF$ +xE$ +0D$ +0C$ +xB$ +xA$ +x@$ +x?$ +z>$ +x=$ +x<$ +x;$ +x:$ +x9$ +x8$ +x7$ +06$ +z5$ +z4$ +x3$ +02$ +01$ +x0$ +x/$ +x.$ +bxzzx0zzx -$ +x,$ +x+$ +b0 *$ +x)$ +x($ +x'$ +x&$ +x%$ +x$$ +x#$ +x"$ +z!$ +bxzzx0zzx ~# +b0 }# +0|# +x{# +xz# +xy# +xx# +0w# +0v# +xu# +xt# +xs# +xr# +xq# +xp# +xo# +xn# +xm# +xl# +xk# +xj# +xi# +zh# +zg# +xf# +0e# +0d# +xc# +xb# +xa# +x`# +z_# +x^# +x]# +x\# +x[# +xZ# +xY# +xX# +0W# +zV# +zU# +xT# +0S# +0R# +xQ# +xP# +xO# +bxzzx0zzx N# +xM# +xL# +b0 K# +xJ# +xI# +xH# +xG# +xF# +xE# +xD# +xC# +zB# +bxzzx0zzx A# +b0 @# +0?# +x># +x=# +x<# +x;# +0:# +09# +x8# +x7# +x6# +x5# +x4# +x3# +x2# +x1# +x0# +x/# +x.# +x-# +x,# +z+# +z*# +x)# +0(# +0'# +x&# +x%# +x$# +x## +z"# +x!# +x~" +x}" +x|" +x{" +xz" +xy" +0x" +zw" +zv" +xu" +0t" +0s" +xr" +xq" +xp" +bxzzx0zzx o" +xn" +xm" +b0 l" +xk" +xj" +xi" +xh" +xg" +xf" +xe" +zd" +xc" +bxzzx0zzx b" +b0 a" +0`" +x_" +x^" +x]" +x\" +0[" +1Z" +xY" +xX" +xW" +xV" +xU" +xT" +xS" +zR" +xQ" +xP" +xO" +xN" +xM" +xL" +xK" +xJ" +xI" +xH" +xG" +xF" +xE" +zD" +zC" +xB" +0A" +0@" +x?" +x>" +x=" +x<" +z;" +x:" +x9" +x8" +x7" +x6" +x5" +x4" +03" +z2" +z1" +x0" +0/" +0." +x-" +x," +x+" +bxzzx0zzx *" +x)" +x(" +b0 '" +x&" +x%" +x$" +x#" +x"" +x!" +x~ +x} +z| +bxzzx0zzx { +b0 z +xy +xx +zw +b0 v +0u +xt +xs +0r +0q +xp +xo +xn +xm +xl +xk +xj +xi +xh +xg +xf +xe +xd +xc +zb +za +x` +0_ +0^ +x] +x\ +x[ +xZ +xY +xX +xW +xV +xU +xT +xS +xR +xQ +zP +zO +xN +0M +0L +xK +xJ +xI +bxzzxxzzx H +xG +xF +b0 E +xD +xC +xB +xA +x@ +x? +x> +x= +z< +bxzzxxzzx ; +b0 : +x9 +x8 +x7 +16 +05 +b0 4 +03 +b0 2 +bx 1 +x0 +bx / +b1 . +b10 - +b0 , +bxzz + +0* +bx ) +b0 ( +b0 ' +b1 & +b10 % +x$ +bx # +x" +x! +$end +#10000 +1x5 +1w5 +1;5 +1:5 +1\4 +1[4 +1}3 +1|3 +1@3 +1?3 +1a2 +1`2 +1$2 +1#2 +1E1 +1D1 +1f0 +1e0 +1)0 +1(0 +1J/ +1I/ +1k. +1j. +1.. +1-. +1O- +1N- +1p, +1o, +13, +12, +1T+ +1S+ +1u* +1t* +18* +17* +1Y) +1X) +1z( +1y( +1=( +1<( +1^' +1]' +1!' +1~& +1B& +1A& +1c% +1b% +1&% +1%% +1G$ +1F$ +1h# +1g# +0+# +1*# +1D" +1C" +0b +1a +1Z +1[ +1l +1m +1I +1<" +1=" +1N" +1O" +1+" +1## +1$# +15# +16# +1p" +1`# +1a# +1r# +1s# +1O# +1?$ +1@$ +1Q$ +1R$ +1.$ +1|$ +1}$ +10% +11% +1k$ +1[% +1\% +1m% +1n% +1J% +1:& +1;& +1L& +1M& +1)& +1w& +1x& +1+' +1,' +1f& +1V' +1W' +1h' +1i' +1E' +15( +16( +1G( +1H( +1$( +1r( +1s( +1&) +1') +1a( +1Q) +1R) +1c) +1d) +1@) +10* +11* +1B* +1C* +1}) +1m* +1n* +1!+ +1"+ +1\* +1L+ +1M+ +1^+ +1_+ +1;+ +1+, +1,, +1=, +1>, +1x+ +1h, +1i, +1z, +1{, +1W, +1G- +1H- +1Y- +1Z- +16- +1&. +1'. +18. +19. +1s- +1c. +1d. +1u. +1v. +1R. +1B/ +1C/ +1T/ +1U/ +11/ +1!0 +1"0 +130 +140 +1n/ +1^0 +1_0 +1p0 +1q0 +1M0 +1=1 +1>1 +1O1 +1P1 +1,1 +1z1 +1{1 +1.2 +1/2 +1i1 +1Y2 +1Z2 +1k2 +1l2 +1H2 +183 +193 +1J3 +1K3 +1'3 +1u3 +1v3 +1)4 +1*4 +1d3 +1T4 +1U4 +1f4 +1g4 +1C4 +135 +145 +1E5 +1F5 +1"5 +1p5 +1q5 +1$6 +1%6 +1_5 +1@ +1w +bx11x0zzx Q5 +bx11x0zzx ^5 +bx11x0zzx r4 +bx11x0zzx !5 +bx11x0zzx 54 +bx11x0zzx B4 +bx11x0zzx V3 +bx11x0zzx c3 +bx11x0zzx w2 +bx11x0zzx &3 +bx11x0zzx :2 +bx11x0zzx G2 +bx11x0zzx [1 +bx11x0zzx h1 +bx11x0zzx |0 +bx11x0zzx +1 +bx11x0zzx ?0 +bx11x0zzx L0 +bx11x0zzx `/ +bx11x0zzx m/ +bx11x0zzx #/ +bx11x0zzx 0/ +bx11x0zzx D. +bx11x0zzx Q. +bx11x0zzx e- +bx11x0zzx r- +bx11x0zzx (- +bx11x0zzx 5- +bx11x0zzx I, +bx11x0zzx V, +bx11x0zzx j+ +bx11x0zzx w+ +bx11x0zzx -+ +bx11x0zzx :+ +bx11x0zzx N* +bx11x0zzx [* +bx11x0zzx o) +bx11x0zzx |) +bx11x0zzx 2) +bx11x0zzx ?) +bx11x0zzx S( +bx11x0zzx `( +bx11x0zzx t' +bx11x0zzx #( +bx11x0zzx 7' +bx11x0zzx D' +bx11x0zzx X& +bx11x0zzx e& +bx11x0zzx y% +bx11x0zzx (& +bx11x0zzx <% +bx11x0zzx I% +bx11x0zzx ]$ +bx11x0zzx j$ +bx11x0zzx ~# +bx11x0zzx -$ +bx11x0zzx A# +bx11x0zzx N# +bx01x0zzx b" +bx01x0zzx o" +bx11x0zzx { +bx11x0zzx *" +bx01xxzzx ; +bx01xxzzx H +#20000 +0y5 +0v5 +0<5 +095 +0]4 +0Z4 +0~3 +0{3 +0A3 +0>3 +0b2 +0_2 +0%2 +0"2 +0F1 +0C1 +0g0 +0d0 +0*0 +0'0 +0K/ +0H/ +0l. +0i. +0/. +0,. +0P- +0M- +0q, +0n, +04, +01, +0U+ +0R+ +0v* +0s* +09* +06* +0Z) +0W) +0{( +0x( +0>( +0;( +0_' +0\' +0"' +0}& +0C& +0@& +0d% +0a% +0'% +0$% +0H$ +0E$ +0i# +0f# +1,# +0)# +0E" +0B" +1c +0` +b1100zzx Q5 +b1100zzx ^5 +b1100zzx r4 +b1100zzx !5 +b1100zzx 54 +b1100zzx B4 +b1100zzx V3 +b1100zzx c3 +b1100zzx w2 +b1100zzx &3 +b1100zzx :2 +b1100zzx G2 +b1100zzx [1 +b1100zzx h1 +b1100zzx |0 +b1100zzx +1 +b1100zzx ?0 +b1100zzx L0 +b1100zzx `/ +b1100zzx m/ +b1100zzx #/ +b1100zzx 0/ +b1100zzx D. +b1100zzx Q. +b1100zzx e- +b1100zzx r- +b1100zzx (- +b1100zzx 5- +b1100zzx I, +b1100zzx V, +b1100zzx j+ +b1100zzx w+ +b1100zzx -+ +b1100zzx :+ +b1100zzx N* +b1100zzx [* +b1100zzx o) +b1100zzx |) +b1100zzx 2) +b1100zzx ?) +b1100zzx S( +b1100zzx `( +b1100zzx t' +b1100zzx #( +b1100zzx 7' +b1100zzx D' +b1100zzx X& +b1100zzx e& +b1100zzx y% +b1100zzx (& +b1100zzx <% +b1100zzx I% +b1100zzx ]$ +b1100zzx j$ +b1100zzx ~# +b1100zzx -$ +b1100zzx A# +b1100zzx N# +b10100zzx b" +b10100zzx o" +b1100zzx { +b1100zzx *" +b1010xzzx ; +b1010xzzx H +0T +0V +0X +0f +0h +0j +0G +06" +08" +0:" +0H" +0J" +0L" +0)" +0{" +0}" +0!# +0/# +01# +03# +0n" +0Z# +0\# +0^# +0l# +0n# +0p# +0M# +09$ +0;$ +0=$ +0K$ +0M$ +0O$ +0,$ +0v$ +0x$ +0z$ +0*% +0,% +0.% +0i$ +0U% +0W% +0Y% +0g% +0i% +0k% +0H% +04& +06& +08& +0F& +0H& +0J& +0'& +0q& +0s& +0u& +0%' +0'' +0)' +0d& +0P' +0R' +0T' +0b' +0d' +0f' +0C' +0/( +01( +03( +0A( +0C( +0E( +0"( +0l( +0n( +0p( +0~( +0") +0$) +0_( +0K) +0M) +0O) +0]) +0_) +0a) +0>) +0** +0,* +0.* +0<* +0>* +0@* +0{) +0g* +0i* +0k* +0y* +0{* +0}* +0Z* +0F+ +0H+ +0J+ +0X+ +0Z+ +0\+ +09+ +0%, +0', +0), +07, +09, +0;, +0v+ +0b, +0d, +0f, +0t, +0v, +0x, +0U, +0A- +0C- +0E- +0S- +0U- +0W- +04- +0~- +0". +0$. +02. +04. +06. +0q- +0]. +0_. +0a. +0o. +0q. +0s. +0P. +0/ +0@/ +0N/ +0P/ +0R/ +0// +0y/ +0{/ +0}/ +0-0 +0/0 +010 +0l/ +0X0 +0Z0 +0\0 +0j0 +0l0 +0n0 +0K0 +071 +091 +0;1 +0I1 +0K1 +0M1 +0*1 +0t1 +0v1 +0x1 +0(2 +0*2 +0,2 +0g1 +0S2 +0U2 +0W2 +0e2 +0g2 +0i2 +0F2 +023 +043 +063 +0D3 +0F3 +0H3 +0%3 +0o3 +0q3 +0s3 +0#4 +0%4 +0'4 +0b3 +0N4 +0P4 +0R4 +0`4 +0b4 +0d4 +0A4 +0-5 +0/5 +015 +0?5 +0A5 +0C5 +0~4 +0j5 +0l5 +0n5 +0|5 +0~5 +0"6 +0]5 +0D +0? +0o5 +0R5 +025 +0s4 +0S4 +064 +0t3 +0W3 +073 +0x2 +0X2 +0;2 +0y1 +0\1 +0<1 +0}0 +0]0 +0@0 +0~/ +0a/ +0A/ +0$/ +0b. +0E. +0%. +0f- +0F- +0)- +0g, +0J, +0*, +0k+ +0K+ +0.+ +0l* +0O* +0/* +0p) +0P) +03) +0q( +0T( +04( +0u' +0U' +08' +0v& +0Y& +09& +0z% +0Z% +0=% +0{$ +0^$ +0>$ +0!$ +0_# +0B# +0"# +1d" +0R" +0;" +0| +0< +#30000 +0f5 +0)5 +0J4 +0k3 +0.3 +0O2 +0p1 +031 +0T0 +0u/ +08/ +0Y. +0z- +0=- +0^, +0!, +0B+ +0c* +0&* +0G) +0h( +0+( +0L' +0m& +00& +0Q% +0r$ +05$ +0V# +1w" +02" +1P +02# +0i +1R +1d +14" +1F" +1y" +1-# +1X# +1j# +17$ +1I$ +1t$ +1(% +1S% +1e% +12& +1D& +1o& +1#' +1N' +1`' +1-( +1?( +1j( +1|( +1I) +1[) +1(* +1:* +1e* +1w* +1D+ +1V+ +1#, +15, +1`, +1r, +1?- +1Q- +1|- +10. +1[. +1m. +1:/ +1L/ +1w/ +1+0 +1V0 +1h0 +151 +1G1 +1r1 +1&2 +1Q2 +1c2 +103 +1B3 +1m3 +1!4 +1L4 +1^4 +1+5 +1=5 +1h5 +1z5 +1S" +19 +0y +0_" +0># +0{# +0Z$ +09% +0v% +0U& +04' +0q' +0P( +0/) +0l) +0K* +0*+ +0g+ +0F, +0%- +0b- +0A. +0~. +0]/ +0<0 +0y0 +0X1 +072 +0t2 +0S3 +024 +0o4 +0N5 +b11000zx Q5 +b11000zx ^5 +b11000zx r4 +b11000zx !5 +b11000zx 54 +b11000zx B4 +b11000zx V3 +b11000zx c3 +b11000zx w2 +b11000zx &3 +b11000zx :2 +b11000zx G2 +b11000zx [1 +b11000zx h1 +b11000zx |0 +b11000zx +1 +b11000zx ?0 +b11000zx L0 +b11000zx `/ +b11000zx m/ +b11000zx #/ +b11000zx 0/ +b11000zx D. +b11000zx Q. +b11000zx e- +b11000zx r- +b11000zx (- +b11000zx 5- +b11000zx I, +b11000zx V, +b11000zx j+ +b11000zx w+ +b11000zx -+ +b11000zx :+ +b11000zx N* +b11000zx [* +b11000zx o) +b11000zx |) +b11000zx 2) +b11000zx ?) +b11000zx S( +b11000zx `( +b11000zx t' +b11000zx #( +b11000zx 7' +b11000zx D' +b11000zx X& +b11000zx e& +b11000zx y% +b11000zx (& +b11000zx <% +b11000zx I% +b11000zx ]$ +b11000zx j$ +b11000zx ~# +b11000zx -$ +b11000zx A# +b11000zx N# +b101001zx b" +b101001zx o" +b11000zx { +b11000zx *" +b1010x1zx ; +b1010x1zx H +#40000 +0]" +0{5 +0>5 +0_4 +0"4 +0C3 +0d2 +0'2 +0H1 +0i0 +0,0 +0M/ +0n. +01. +0R- +0s, +06, +0W+ +0x* +0;* +0\) +0}( +0@( +0a' +0$' +0E& +0f% +0)% +0J$ +0k# +0.# +0G" +0e +0U +0W +0Y +0g +0k +07" +09" +0I" +0K" +0M" +0|" +0~" +00# +04# +0[# +0]# +0m# +0o# +0q# +0:$ +0<$ +0L$ +0N$ +0P$ +0w$ +0y$ +0+% +0-% +0/% +0V% +0X% +0h% +0j% +0l% +05& +07& +0G& +0I& +0K& +0r& +0t& +0&' +0(' +0*' +0Q' +0S' +0c' +0e' +0g' +00( +02( +0B( +0D( +0F( +0m( +0o( +0!) +0#) +0%) +0L) +0N) +0^) +0`) +0b) +0+* +0-* +0=* +0?* +0A* +0h* +0j* +0z* +0|* +0~* +0G+ +0I+ +0Y+ +0[+ +0]+ +0&, +0(, +08, +0:, +0<, +0c, +0e, +0u, +0w, +0y, +0B- +0D- +0T- +0V- +0X- +0!. +0#. +03. +05. +07. +0^. +0`. +0p. +0r. +0t. +0=/ +0?/ +0O/ +0Q/ +0S/ +0z/ +0|/ +0.0 +000 +020 +0Y0 +0[0 +0k0 +0m0 +0o0 +081 +0:1 +0J1 +0L1 +0N1 +0u1 +0w1 +0)2 +0+2 +0-2 +0T2 +0V2 +0f2 +0h2 +0j2 +033 +053 +0E3 +0G3 +0I3 +0p3 +0r3 +0$4 +0&4 +0(4 +0O4 +0Q4 +0a4 +0c4 +0e4 +0.5 +005 +0@5 +0B5 +0D5 +0k5 +0m5 +0}5 +0!6 +0#6 +08 +bx0 ) +1T" +#50000 +1g" +0W" +1= +0} +0c" +0C# +0"$ +0_$ +0>% +0{% +0Z& +09' +0v' +0U( +04) +0q) +0P* +0/+ +0l+ +0K, +0*- +0g- +0F. +0%/ +0b/ +0A0 +0~0 +0]1 +0<2 +0y2 +0X3 +074 +0t4 +0S5 +#60000 +0k" +0f" +0n +0P" +07# +0t# +0S$ +02% +0o% +0N& +0-' +0j' +0I( +0() +0e) +0D* +0#+ +0`+ +0?, +0|, +0[- +0:. +0w. +0V/ +050 +0r0 +0Q1 +002 +0m2 +0L3 +0+4 +0h4 +0G5 +0&6 +1> +0~ +1e" +0D# +0#$ +0`$ +0?% +0|% +0[& +0:' +0w' +0V( +05) +0r) +0Q* +00+ +0m+ +0L, +0+- +0h- +0G. +0&/ +0c/ +0B0 +0!1 +0^1 +0=2 +0z2 +0Y3 +084 +0u4 +0T5 +#70000 +0A +1#" +0h" +1G# +1&$ +1c$ +1B% +1!& +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +0Y" +0!" +0E# +0$$ +0a$ +0@% +0}% +0\& +0;' +0x' +0W( +06) +0s) +0R* +01+ +0n+ +0M, +0,- +0i- +0H. +0'/ +0d/ +0C0 +0"1 +0_1 +0>2 +0{2 +0Z3 +094 +0v4 +0U5 +#80000 +0<# +0^" +bx00 ) +0o +0Q" +08# +0u# +0T$ +03% +0p% +0O& +0.' +0k' +0J( +0)) +0f) +0E* +0$+ +0a+ +0@, +0}, +0\- +0;. +0x. +0W/ +060 +0s0 +0R1 +012 +0n2 +0M3 +0,4 +0i4 +0H5 +0'6 +1C +0%" +1j" +0I# +0($ +0e$ +0D% +0#& +0`& +0?' +0|' +0[( +0:) +0w) +0V* +05+ +0r+ +0Q, +00- +0m- +0L. +0+/ +0h/ +0G0 +0&1 +0c1 +0B2 +0!3 +0^3 +0=4 +0z4 +0Y5 +#90000 +0y# +0X$ +07% +0t% +0S& +02' +0o' +0N( +0-) +0j) +0I* +0(+ +0e+ +0D, +0#- +0`- +0?. +0|. +0[/ +0:0 +0w0 +0V1 +052 +0r2 +0Q3 +004 +0m4 +0L5 +0t +1F# +0! +0=# +0z# +0Y$ +08% +0u% +0T& +03' +0p' +0O( +0.) +0k) +0J* +0)+ +0f+ +0E, +0$- +0a- +0@. +0}. +0\/ +0;0 +0x0 +0W1 +062 +0s2 +0R3 +014 +0n4 +0M5 +b0 ) +#100000 +1N +1u" +1%$ +1b$ +1A% +1~% +1]& +1<' +1y' +1X( +17) +1t) +1S* +12+ +1o+ +1N, +1-- +1j- +1I. +1(/ +1e/ +1D0 +1#1 +1`1 +1?2 +1|2 +1[3 +1:4 +1w4 +1V5 +1"" +1V" +0J# +0K +0-" +0r" +0Q# +00$ +0m$ +0L% +0+& +0h& +0G' +0&( +0c( +0B) +0!* +0^* +0=+ +0z+ +0Y, +08- +0u- +0T. +03/ +0p/ +0O0 +0.1 +0k1 +0J2 +0)3 +0f3 +0E4 +0$5 +0a5 +1B +b1010x1z1 ; +b1010x1z1 H +1i" +b101001z1 b" +b101001z1 o" +#110000 +0)$ +0f$ +0E% +0$& +0a& +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0R, +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +0d1 +0C2 +0"3 +0_3 +0>4 +0{4 +0Z5 +0&" +0U" +#120000 +0T# +1S +1z" +1X" +0H# +b11000z0 A# +b11000z0 N# +0" +#130000 +03$ +0p$ +0O% +0.& +0k& +0J' +0)( +0f( +0E) +0$* +0a* +0@+ +0}+ +0\, +0;- +0x- +0W. +06/ +0s/ +0R0 +011 +0n1 +0M2 +0,3 +0i3 +0H4 +0'5 +0d5 +00" +0'$ +b11000z0 ~# +b11000z0 -$ +0d$ +b11000z0 ]$ +b11000z0 j$ +0C% +b11000z0 <% +b11000z0 I% +0"& +b11000z0 y% +b11000z0 (& +0_& +b11000z0 X& +b11000z0 e& +0>' +b11000z0 7' +b11000z0 D' +0{' +b11000z0 t' +b11000z0 #( +0Z( +b11000z0 S( +b11000z0 `( +09) +b11000z0 2) +b11000z0 ?) +0v) +b11000z0 o) +b11000z0 |) +0U* +b11000z0 N* +b11000z0 [* +04+ +b11000z0 -+ +b11000z0 :+ +0q+ +b11000z0 j+ +b11000z0 w+ +0P, +b11000z0 I, +b11000z0 V, +0/- +b11000z0 (- +b11000z0 5- +0l- +b11000z0 e- +b11000z0 r- +0K. +b11000z0 D. +b11000z0 Q. +0*/ +b11000z0 #/ +b11000z0 0/ +0g/ +b11000z0 `/ +b11000z0 m/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0%1 +b11000z0 |0 +b11000z0 +1 +0b1 +b11000z0 [1 +b11000z0 h1 +0A2 +b11000z0 :2 +b11000z0 G2 +0~2 +b11000z0 w2 +b11000z0 &3 +0]3 +b11000z0 V3 +b11000z0 c3 +0<4 +b11000z0 54 +b11000z0 B4 +0y4 +b11000z0 r4 +b11000z0 !5 +0X5 +b11000z0 Q5 +b11000z0 ^5 +0$" +b11000z0 { +b11000z0 *" +0p +#140000 +0Y# +1\ +1%# +1x +#150000 +08$ +0u$ +0T% +03& +0p& +0O' +0.( +0k( +0J) +0)* +0f* +0E+ +0$, +0a, +0@- +0}- +0\. +0;/ +0x/ +0W0 +061 +0s1 +0R2 +013 +0n3 +0M4 +0,5 +0i5 +05" +#160000 +0b# +1] +1&# +#170000 +1Q +0A$ +0~$ +0]% +0<& +0y& +0X' +07( +0t( +0S) +02* +0o* +0N+ +0-, +0j, +0I- +0(. +0e. +0D/ +0#0 +0`0 +0?1 +0|1 +0[2 +0:3 +0w3 +0V4 +055 +0r5 +0>" +b101011z1 ; +b101011z1 H +10 +#180000 +0c# +1J +1q" +#190000 +0B$ +0!% +0^% +0=& +0z& +0Y' +08( +0u( +0T) +03* +0p* +0O+ +0., +0k, +0J- +0). +0f. +0E/ +0$0 +0a0 +0@1 +0}1 +0\2 +0;3 +0x3 +0W4 +065 +0s5 +0?" +#200000 +0P# +1F +1m" +#210000 +0/$ +0l$ +0K% +0*& +0g& +0F' +0%( +0b( +0A) +0~) +0]* +0<+ +0y+ +0X, +07- +0t- +0S. +02/ +0o/ +0N0 +0-1 +0j1 +0I2 +0(3 +0e3 +0D4 +0#5 +0`5 +0," +#220000 +0L# +17 +1\" +bx11 # +bx11 / +#230000 +bx0 1 +0+$ +0h$ +0G% +0&& +0c& +0B' +0!( +0^( +0=) +0z) +0Y* +08+ +0u+ +0T, +03- +0p- +0O. +0./ +0k/ +0J0 +0)1 +0f1 +0E2 +0$3 +0a3 +0@4 +0}4 +0\5 +0(" +#240000 +0;# +bx011 # +bx011 / +#250000 +bx1zz + +bx00 1 +0x# +0W$ +06% +0s% +0R& +01' +0n' +0M( +0,) +0i) +0H* +0'+ +0d+ +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +0s +b11 # +b11 / +#260000 +b111111111111111111111111111111zz + +#270000 +bx000 1 +#290000 +bx0000 1 +#310000 +bx00000 1 +#330000 +bx000000 1 +#350000 +bx0000000 1 +#370000 +bx00000000 1 +#390000 +bx000000000 1 +#410000 +bx0000000000 1 +#430000 +bx00000000000 1 +#450000 +bx000000000000 1 +#470000 +bx0000000000000 1 +#490000 +bx00000000000000 1 +#510000 +bx000000000000000 1 +#530000 +bx0000000000000000 1 +#550000 +bx00000000000000000 1 +#570000 +bx000000000000000000 1 +#590000 +bx0000000000000000000 1 +#610000 +bx00000000000000000000 1 +#630000 +bx000000000000000000000 1 +#650000 +bx0000000000000000000000 1 +#670000 +bx00000000000000000000000 1 +#690000 +bx000000000000000000000000 1 +#710000 +bx0000000000000000000000000 1 +#730000 +bx00000000000000000000000000 1 +#750000 +bx000000000000000000000000000 1 +#770000 +bx0000000000000000000000000000 1 +#790000 +bx00000000000000000000000000000 1 +#810000 +bx000000000000000000000000000000 1 +#830000 +0$ +b0 1 +#2000000 +1[" +1:# +1w# +1V$ +15% +1r% +1Q& +10' +1m' +1L( +1+) +1h) +1G* +1&+ +1c+ +1B, +1!- +1^- +1=. +1z. +1Y/ +180 +1u0 +1T1 +132 +1p2 +1O3 +1.4 +1k4 +1J5 +1r +19# +1v# +1U$ +14% +1q% +1P& +1/' +1l' +1K( +1*) +1g) +1F* +1%+ +1b+ +1A, +1~, +1]- +1<. +1y. +1X/ +170 +1t0 +1S1 +122 +1o2 +1N3 +1-4 +1j4 +1I5 +15 +1q +b11111111111111111111111111111111 & +b11111111111111111111111111111111 . +b11111111111111111111111111111111 % +b11111111111111111111111111111111 - +#2010000 +0*# +0g# +0h# +0F$ +0G$ +0%% +0&% +0b% +0c% +0A& +0B& +0~& +0!' +0]' +0^' +0<( +0=( +0y( +0z( +0X) +0Y) +07* +08* +0t* +0u* +0S+ +0T+ +02, +03, +0o, +0p, +0N- +0O- +0-. +0.. +0j. +0k. +0I/ +0J/ +0(0 +0)0 +0e0 +0f0 +0D1 +0E1 +0#2 +0$2 +0`2 +0a2 +0?3 +0@3 +0|3 +0}3 +0[4 +0\4 +0:5 +0;5 +0w5 +0x5 +0a +0C" +0D" +b100001z1 b" +b100001z1 o" +0w +b0z0 A# +b0z0 N# +b0z0 ~# +b0z0 -$ +b0z0 ]$ +b0z0 j$ +b0z0 <% +b0z0 I% +b0z0 y% +b0z0 (& +b0z0 X& +b0z0 e& +b0z0 7' +b0z0 D' +b0z0 t' +b0z0 #( +b0z0 S( +b0z0 `( +b0z0 2) +b0z0 ?) +b0z0 o) +b0z0 |) +b0z0 N* +b0z0 [* +b0z0 -+ +b0z0 :+ +b0z0 j+ +b0z0 w+ +b0z0 I, +b0z0 V, +b0z0 (- +b0z0 5- +b0z0 e- +b0z0 r- +b0z0 D. +b0z0 Q. +b0z0 #/ +b0z0 0/ +b0z0 `/ +b0z0 m/ +b0z0 ?0 +b0z0 L0 +b0z0 |0 +b0z0 +1 +b0z0 [1 +b0z0 h1 +b0z0 :2 +b0z0 G2 +b0z0 w2 +b0z0 &3 +b0z0 V3 +b0z0 c3 +b0z0 54 +b0z0 B4 +b0z0 r4 +b0z0 !5 +b0z0 Q5 +b0z0 ^5 +b100011z1 ; +b100011z1 H +b0z0 { +b0z0 *" +#2020000 +1)# +1f# +1i# +1E$ +1H$ +1$% +1'% +1a% +1d% +1@& +1C& +1}& +1"' +1\' +1_' +1;( +1>( +1x( +1{( +1W) +1Z) +16* +19* +1s* +1v* +1R+ +1U+ +11, +14, +1n, +1q, +1M- +1P- +1,. +1/. +1i. +1l. +1H/ +1K/ +1'0 +1*0 +1d0 +1g0 +1C1 +1F1 +1"2 +1%2 +1_2 +1b2 +1>3 +1A3 +1{3 +1~3 +1Z4 +1]4 +195 +1<5 +1v5 +1y5 +1` +1B" +1E" +b100101z1 b" +b100101z1 o" +b100100z0 A# +b100100z0 N# +b100100z0 ~# +b100100z0 -$ +b100100z0 ]$ +b100100z0 j$ +b100100z0 <% +b100100z0 I% +b100100z0 y% +b100100z0 (& +b100100z0 X& +b100100z0 e& +b100100z0 7' +b100100z0 D' +b100100z0 t' +b100100z0 #( +b100100z0 S( +b100100z0 `( +b100100z0 2) +b100100z0 ?) +b100100z0 o) +b100100z0 |) +b100100z0 N* +b100100z0 [* +b100100z0 -+ +b100100z0 :+ +b100100z0 j+ +b100100z0 w+ +b100100z0 I, +b100100z0 V, +b100100z0 (- +b100100z0 5- +b100100z0 e- +b100100z0 r- +b100100z0 D. +b100100z0 Q. +b100100z0 #/ +b100100z0 0/ +b100100z0 `/ +b100100z0 m/ +b100100z0 ?0 +b100100z0 L0 +b100100z0 |0 +b100100z0 +1 +b100100z0 [1 +b100100z0 h1 +b100100z0 :2 +b100100z0 G2 +b100100z0 w2 +b100100z0 &3 +b100100z0 V3 +b100100z0 c3 +b100100z0 54 +b100100z0 B4 +b100100z0 r4 +b100100z0 !5 +b100100z0 Q5 +b100100z0 ^5 +b100111z1 ; +b100111z1 H +b100100z0 { +b100100z0 *" +1C# +1"$ +1_$ +1>% +1{% +1Z& +19' +1v' +1U( +14) +1q) +1P* +1/+ +1l+ +1K, +1*- +1g- +1F. +1%/ +1b/ +1A0 +1~0 +1]1 +1<2 +1y2 +1X3 +174 +1t4 +1S5 +1< +1} +#2030000 +0w" +0P +1_" +b100100z1 b" +b100100z1 o" +1># +1{# +1Z$ +19% +1v% +1U& +14' +1q' +1P( +1/) +1l) +1K* +1*+ +1g+ +1F, +1%- +1b- +1A. +1~. +1]/ +1<0 +1y0 +1X1 +172 +1t2 +1S3 +124 +1o4 +1N5 +1y +1D# +1#$ +1`$ +1?% +1|% +1[& +1:' +1w' +1V( +15) +1r) +1Q* +10+ +1m+ +1L, +1+- +1h- +1G. +1&/ +1c/ +1B0 +1!1 +1^1 +1=2 +1z2 +1Y3 +184 +1u4 +1T5 +b100110z1 ; +b100110z1 H +0> +1~ +#2040000 +1]" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +1A +0#" +1.# +1k# +1J$ +1)% +1f% +1E& +1$' +1a' +1@( +1}( +1\) +1;* +1x* +1W+ +16, +1s, +1R- +11. +1n. +1M/ +1,0 +1i0 +1H1 +1'2 +1d2 +1C3 +1"4 +1_4 +1>5 +1{5 +1e +1G" +18 +b1 ) +#2050000 +0g" +1c" +1B# +1!$ +1^$ +1=% +1z% +1Y& +18' +1u' +1T( +13) +1p) +1O* +1.+ +1k+ +1J, +1)- +1f- +1E. +1$/ +1a/ +1@0 +1}0 +1\1 +1;2 +1x2 +1W3 +164 +1s4 +1R5 +1| +1I# +1($ +1e$ +1D% +1#& +1`& +1?' +1|' +1[( +1:) +1w) +1V* +15+ +1r+ +1Q, +10- +1m- +1L. +1+/ +1h/ +1G0 +1&1 +1c1 +1B2 +1!3 +1^3 +1=4 +1z4 +1Y5 +0C +1%" +#2060000 +1f" +17# +1t# +1S$ +12% +1o% +1N& +1-' +1j' +1I( +1() +1e) +1D* +1#+ +1`+ +1?, +1|, +1[- +1:. +1w. +1V/ +150 +1r0 +1Q1 +102 +1m2 +1L3 +1+4 +1h4 +1G5 +1&6 +1n +1P" +0e" +0D# +0#$ +0`$ +0?% +0|% +0[& +0:' +0w' +0V( +05) +0r) +0Q* +00+ +0m+ +0L, +0+- +0h- +0G. +0&/ +0c/ +0B0 +0!1 +0^1 +0=2 +0z2 +0Y3 +084 +0u4 +0T5 +0~ +#2070000 +1<# +1y# +1X$ +17% +1t% +1S& +12' +1o' +1N( +1-) +1j) +1I* +1(+ +1e+ +1D, +1#- +1`- +1?. +1|. +1[/ +1:0 +1w0 +1V1 +152 +1r2 +1Q3 +104 +1m4 +1L5 +1t +1T# +13$ +1p$ +1O% +1.& +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1\, +1;- +1x- +1W. +16/ +1s/ +1R0 +111 +1n1 +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +0N +10" +1h" +1G# +1&$ +1c$ +1B% +1!& +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +1#" +0j" +1^" +1=# +1z# +1Y$ +18% +1u% +1T& +13' +1p' +1O( +1.) +1k) +1J* +1)+ +1f+ +1E, +1$- +1a- +1@. +1}. +1\/ +1;0 +1x0 +1W1 +162 +1s2 +1R3 +114 +1n4 +1M5 +b1111111111111111111111111111111 ) +1! +1H# +b100100z1 A# +b100100z1 N# +1'$ +b100100z1 ~# +b100100z1 -$ +1d$ +b100100z1 ]$ +b100100z1 j$ +1C% +b100100z1 <% +b100100z1 I% +1"& +b100100z1 y% +b100100z1 (& +1_& +b100100z1 X& +b100100z1 e& +1>' +b100100z1 7' +b100100z1 D' +1{' +b100100z1 t' +b100100z1 #( +1Z( +b100100z1 S( +b100100z1 `( +19) +b100100z1 2) +b100100z1 ?) +1v) +b100100z1 o) +b100100z1 |) +1U* +b100100z1 N* +b100100z1 [* +14+ +b100100z1 -+ +b100100z1 :+ +1q+ +b100100z1 j+ +b100100z1 w+ +1P, +b100100z1 I, +b100100z1 V, +1/- +b100100z1 (- +b100100z1 5- +1l- +b100100z1 e- +b100100z1 r- +1K. +b100100z1 D. +b100100z1 Q. +1*/ +b100100z1 #/ +b100100z1 0/ +1g/ +b100100z1 `/ +b100100z1 m/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1%1 +b100100z1 |0 +b100100z1 +1 +1b1 +b100100z1 [1 +b100100z1 h1 +1A2 +b100100z1 :2 +b100100z1 G2 +1~2 +b100100z1 w2 +b100100z1 &3 +1]3 +b100100z1 V3 +b100100z1 c3 +1<4 +b100100z1 54 +b100100z1 B4 +1y4 +b100100z1 r4 +b100100z1 !5 +1X5 +b100100z1 Q5 +b100100z1 ^5 +0B +b100110z0 ; +b100110z0 H +1$" +b100100z1 { +b100100z1 *" +#2080000 +0F# +0%$ +0b$ +0A% +0~% +0]& +0<' +0y' +0X( +07) +0t) +0S* +02+ +0o+ +0N, +0-- +0j- +0I. +0(/ +0e/ +0D0 +0#1 +0`1 +0?2 +0|2 +0[3 +0:4 +0w4 +0V5 +0"" +0V" +18# +1u# +1T$ +13% +1p% +1O& +1.' +1k' +1J( +1)) +1f) +1E* +1$+ +1a+ +1@, +1}, +1\- +1;. +1x. +1W/ +160 +1s0 +1R1 +112 +1n2 +1M3 +1,4 +1i4 +1H5 +1'6 +1o +1Q" +0I# +0($ +0e$ +0D% +0#& +0`& +0?' +0|' +0[( +0:) +0w) +0V* +05+ +0r+ +0Q, +00- +0m- +0L. +0+/ +0h/ +0G0 +0&1 +0c1 +0B2 +0!3 +0^3 +0=4 +0z4 +0Y5 +0%" +#2090000 +0u" +1E# +1$$ +1a$ +1@% +1}% +1\& +1;' +1x' +1W( +16) +1s) +1R* +11+ +1n+ +1M, +1,- +1i- +1H. +1'/ +1d/ +1C0 +1"1 +1_1 +1>2 +1{2 +1Z3 +194 +1v4 +1U5 +1!" +1U" +1Y# +18$ +1u$ +1T% +13& +1p& +1O' +1.( +1k( +1J) +1)* +1f* +1E+ +1$, +1a, +1@- +1}- +1\. +1;/ +1x/ +1W0 +161 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +0S +15" +1k" +1J# +1)$ +1f$ +1E% +1$& +1a& +1@' +1}' +1\( +1;) +1x) +1W* +16+ +1s+ +1R, +11- +1n- +1M. +1,/ +1i/ +1H0 +1'1 +1d1 +1C2 +1"3 +1_3 +1>4 +1{4 +1Z5 +1&" +0i" +b100100z0 b" +b100100z0 o" +#2100000 +0X" +1r" +1Q# +10$ +1m$ +1L% +1+& +1h& +1G' +1&( +1c( +1B) +1!* +1^* +1=+ +1z+ +1Y, +18- +1u- +1T. +13/ +1p/ +1O0 +1.1 +1k1 +1J2 +1)3 +1f3 +1E4 +1$5 +1a5 +1K +1-" +#2110000 +1u" +0z" +1p +1b# +1A$ +1~$ +1]% +1<& +1y& +1X' +17( +1t( +1S) +12* +1o* +1N+ +1-, +1j, +1I- +1(. +1e. +1D/ +1#0 +1`0 +1?1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +0\ +1>" +1i" +b100100z1 b" +b100100z1 o" +#2120000 +0x +#2130000 +1z" +0%# +1c# +1B$ +1!% +1^% +1=& +1z& +1Y' +18( +1u( +1T) +13* +1p* +1O+ +1., +1k, +1J- +1). +1f. +1E/ +1$0 +1a0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +0] +1?" +#2150000 +0Q +1%# +0&# +1P# +1/$ +1l$ +1K% +1*& +1g& +1F' +1%( +1b( +1A) +1~) +1]* +1<+ +1y+ +1X, +17- +1t- +1S. +12/ +1o/ +1N0 +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +0J +1," +b100100z0 ; +b100100z0 H +00 +#2170000 +1&# +0q" +1L# +1+$ +1h$ +1G% +1&& +1c& +1B' +1!( +1^( +1=) +1z) +1Y* +18+ +1u+ +1T, +13- +1p- +1O. +1./ +1k/ +1J0 +1)1 +1f1 +1E2 +1$3 +1a3 +1@4 +1}4 +1\5 +0F +1(" +#2190000 +1q" +0m" +1;# +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +07 +1s +b11111111111111111111111111111110 # +b11111111111111111111111111111110 / +#2200000 +b0zz + +#2210000 +1m" +0\" +b11111111111111111111111111111100 # +b11111111111111111111111111111100 / +#2220000 +b1 1 +#2230000 +1\" +b11111111111111111111111111111110 # +b11111111111111111111111111111110 / +#2240000 +b0 1 +#4000000 +0[" +0:# +0w# +0V$ +05% +0r% +0Q& +00' +0m' +0L( +0+) +0h) +0G* +0&+ +0c+ +0B, +0!- +0^- +0=. +0z. +0Y/ +080 +0u0 +0T1 +032 +0p2 +0O3 +0.4 +0k4 +0J5 +06 +0r +0Z" +09# +0v# +0U$ +04% +0q% +0P& +0/' +0l' +0K( +0*) +0g) +0F* +0%+ +0b+ +0A, +0~, +0]- +0<. +0y. +0X/ +070 +0t0 +0S1 +022 +0o2 +0N3 +0-4 +0j4 +0I5 +05 +0q +b0 & +b0 . +b0 % +b0 - +#4010000 +1*# +1+# +1g# +1h# +1F$ +1G$ +1%% +1&% +1b% +1c% +1A& +1B& +1~& +1!' +1]' +1^' +1<( +1=( +1y( +1z( +1X) +1Y) +17* +18* +1t* +1u* +1S+ +1T+ +12, +13, +1o, +1p, +1N- +1O- +1-. +1.. +1j. +1k. +1I/ +1J/ +1(0 +1)0 +1e0 +1f0 +1D1 +1E1 +1#2 +1$2 +1`2 +1a2 +1?3 +1@3 +1|3 +1}3 +1[4 +1\4 +1:5 +1;5 +1w5 +1x5 +1a +1b +1C" +1D" +1w +b111100z1 b" +b111100z1 o" +b111100z1 A# +b111100z1 N# +b111100z1 ~# +b111100z1 -$ +b111100z1 ]$ +b111100z1 j$ +b111100z1 <% +b111100z1 I% +b111100z1 y% +b111100z1 (& +b111100z1 X& +b111100z1 e& +b111100z1 7' +b111100z1 D' +b111100z1 t' +b111100z1 #( +b111100z1 S( +b111100z1 `( +b111100z1 2) +b111100z1 ?) +b111100z1 o) +b111100z1 |) +b111100z1 N* +b111100z1 [* +b111100z1 -+ +b111100z1 :+ +b111100z1 j+ +b111100z1 w+ +b111100z1 I, +b111100z1 V, +b111100z1 (- +b111100z1 5- +b111100z1 e- +b111100z1 r- +b111100z1 D. +b111100z1 Q. +b111100z1 #/ +b111100z1 0/ +b111100z1 `/ +b111100z1 m/ +b111100z1 ?0 +b111100z1 L0 +b111100z1 |0 +b111100z1 +1 +b111100z1 [1 +b111100z1 h1 +b111100z1 :2 +b111100z1 G2 +b111100z1 w2 +b111100z1 &3 +b111100z1 V3 +b111100z1 c3 +b111100z1 54 +b111100z1 B4 +b111100z1 r4 +b111100z1 !5 +b111100z1 Q5 +b111100z1 ^5 +b111100z0 ; +b111100z0 H +b111100z1 { +b111100z1 *" +#4020000 +0)# +0,# +0f# +0i# +0E$ +0H$ +0$% +0'% +0a% +0d% +0@& +0C& +0}& +0"' +0\' +0_' +0;( +0>( +0x( +0{( +0W) +0Z) +06* +09* +0s* +0v* +0R+ +0U+ +01, +04, +0n, +0q, +0M- +0P- +0,. +0/. +0i. +0l. +0H/ +0K/ +0'0 +0*0 +0d0 +0g0 +0C1 +0F1 +0"2 +0%2 +0_2 +0b2 +0>3 +0A3 +0{3 +0~3 +0Z4 +0]4 +095 +0<5 +0v5 +0y5 +0` +0c +0B" +0E" +b11000z1 b" +b11000z1 o" +b11000z1 A# +b11000z1 N# +b11000z1 ~# +b11000z1 -$ +b11000z1 ]$ +b11000z1 j$ +b11000z1 <% +b11000z1 I% +b11000z1 y% +b11000z1 (& +b11000z1 X& +b11000z1 e& +b11000z1 7' +b11000z1 D' +b11000z1 t' +b11000z1 #( +b11000z1 S( +b11000z1 `( +b11000z1 2) +b11000z1 ?) +b11000z1 o) +b11000z1 |) +b11000z1 N* +b11000z1 [* +b11000z1 -+ +b11000z1 :+ +b11000z1 j+ +b11000z1 w+ +b11000z1 I, +b11000z1 V, +b11000z1 (- +b11000z1 5- +b11000z1 e- +b11000z1 r- +b11000z1 D. +b11000z1 Q. +b11000z1 #/ +b11000z1 0/ +b11000z1 `/ +b11000z1 m/ +b11000z1 ?0 +b11000z1 L0 +b11000z1 |0 +b11000z1 +1 +b11000z1 [1 +b11000z1 h1 +b11000z1 :2 +b11000z1 G2 +b11000z1 w2 +b11000z1 &3 +b11000z1 V3 +b11000z1 c3 +b11000z1 54 +b11000z1 B4 +b11000z1 r4 +b11000z1 !5 +b11000z1 Q5 +b11000z1 ^5 +b11000z0 ; +b11000z0 H +b11000z1 { +b11000z1 *" +0c" +0B# +0!$ +0^$ +0=% +0z% +0Y& +08' +0u' +0T( +03) +0p) +0O* +0.+ +0k+ +0J, +0)- +0f- +0E. +0$/ +0a/ +0@0 +0}0 +0\1 +0;2 +0x2 +0W3 +064 +0s4 +0R5 +0< +0| +#4030000 +0_" +0># +0{# +0Z$ +09% +0v% +0U& +04' +0q' +0P( +0/) +0l) +0K* +0*+ +0g+ +0F, +0%- +0b- +0A. +0~. +0]/ +0<0 +0y0 +0X1 +072 +0t2 +0S3 +024 +0o4 +0N5 +09 +0y +1e" +1D# +1#$ +1`$ +1?% +1|% +1[& +1:' +1w' +1V( +15) +1r) +1Q* +10+ +1m+ +1L, +1+- +1h- +1G. +1&/ +1c/ +1B0 +1!1 +1^1 +1=2 +1z2 +1Y3 +184 +1u4 +1T5 +1> +1~ +#4040000 +0]" +0h" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +0A +0#" +0.# +0k# +0J$ +0)% +0f% +0E& +0$' +0a' +0@( +0}( +0\) +0;* +0x* +0W+ +06, +0s, +0R- +01. +0n. +0M/ +0,0 +0i0 +0H1 +0'2 +0d2 +0C3 +0"4 +0_4 +0>5 +0{5 +0e +0G" +08 +b1111111111111111111111111111110 ) +#4050000 +1g" +0d" +0C# +0"$ +0_$ +0>% +0{% +0Z& +09' +0v' +0U( +04) +0q) +0P* +0/+ +0l+ +0K, +0*- +0g- +0F. +0%/ +0b/ +0A0 +0~0 +0]1 +0<2 +0y2 +0X3 +074 +0t4 +0S5 +0= +0} +1C +#4060000 +0f" +0k" +0J# +0)$ +0f$ +0E% +0$& +0a& +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0R, +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +0d1 +0C2 +0"3 +0_3 +0>4 +0{4 +0Z5 +0&" +07# +0t# +0S$ +02% +0o% +0N& +0-' +0j' +0I( +0() +0e) +0D* +0#+ +0`+ +0?, +0|, +0[- +0:. +0w. +0V/ +050 +0r0 +0Q1 +002 +0m2 +0L3 +0+4 +0h4 +0G5 +0&6 +0n +0P" +0e" +0D# +0#$ +0`$ +0?% +0|% +0[& +0:' +0w' +0V( +05) +0r) +0Q* +00+ +0m+ +0L, +0+- +0h- +0G. +0&/ +0c/ +0B0 +0!1 +0^1 +0=2 +0z2 +0Y3 +084 +0u4 +0T5 +0> +0~ +#4070000 +1N +1h" +1G# +1&$ +1c$ +1B% +1!& +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +1A +1#" +0E# +0$$ +0a$ +0@% +0}% +0\& +0;' +0x' +0W( +06) +0s) +0R* +01+ +0n+ +0M, +0,- +0i- +0H. +0'/ +0d/ +0C0 +0"1 +0_1 +0>2 +0{2 +0Z3 +094 +0v4 +0U5 +0!" +1B +b11000z1 ; +b11000z1 H +#4080000 +0<# +0u" +0T# +03$ +0p$ +0O% +0.& +0k& +0J' +0)( +0f( +0E) +0$* +0a* +0@+ +0}+ +0\, +0;- +0x- +0W. +06/ +0s/ +0R0 +011 +0n1 +0M2 +0,3 +0i3 +0H4 +0'5 +0d5 +00" +0^" +b1111111111111111111111111111100 ) +0i" +b11000z0 b" +b11000z0 o" +0H# +b11000z0 A# +b11000z0 N# +0'$ +b11000z0 ~# +b11000z0 -$ +0d$ +b11000z0 ]$ +b11000z0 j$ +0C% +b11000z0 <% +b11000z0 I% +0"& +b11000z0 y% +b11000z0 (& +0_& +b11000z0 X& +b11000z0 e& +0>' +b11000z0 7' +b11000z0 D' +0{' +b11000z0 t' +b11000z0 #( +0Z( +b11000z0 S( +b11000z0 `( +09) +b11000z0 2) +b11000z0 ?) +0v) +b11000z0 o) +b11000z0 |) +0U* +b11000z0 N* +b11000z0 [* +04+ +b11000z0 -+ +b11000z0 :+ +0q+ +b11000z0 j+ +b11000z0 w+ +0P, +b11000z0 I, +b11000z0 V, +0/- +b11000z0 (- +b11000z0 5- +0l- +b11000z0 e- +b11000z0 r- +0K. +b11000z0 D. +b11000z0 Q. +0*/ +b11000z0 #/ +b11000z0 0/ +0g/ +b11000z0 `/ +b11000z0 m/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0%1 +b11000z0 |0 +b11000z0 +1 +0b1 +b11000z0 [1 +b11000z0 h1 +0A2 +b11000z0 :2 +b11000z0 G2 +0~2 +b11000z0 w2 +b11000z0 &3 +0]3 +b11000z0 V3 +b11000z0 c3 +0<4 +b11000z0 54 +b11000z0 B4 +0y4 +b11000z0 r4 +b11000z0 !5 +0X5 +b11000z0 Q5 +b11000z0 ^5 +0$" +b11000z0 { +b11000z0 *" +08# +0u# +0T$ +03% +0p% +0O& +0.' +0k' +0J( +0)) +0f) +0E* +0$+ +0a+ +0@, +0}, +0\- +0;. +0x. +0W/ +060 +0s0 +0R1 +012 +0n2 +0M3 +0,4 +0i4 +0H5 +0'6 +0o +0Q" +0C +#4090000 +0y# +0X$ +07% +0t% +0S& +02' +0o' +0N( +0-) +0j) +0I* +0(+ +0e+ +0D, +0#- +0`- +0?. +0|. +0[/ +0:0 +0w0 +0V1 +052 +0r2 +0Q3 +004 +0m4 +0L5 +0t +1F# +1S +1)$ +1f$ +1E% +1$& +1a& +1@' +1}' +1\( +1;) +1x) +1W* +16+ +1s+ +1R, +11- +1n- +1M. +1,/ +1i/ +1H0 +1'1 +1d1 +1C2 +1"3 +1_3 +1>4 +1{4 +1Z5 +1&" +0=# +0z# +0Y$ +08% +0u% +0T& +03' +0p' +0O( +0.) +0k) +0J* +0)+ +0f+ +0E, +0$- +0a- +0@. +0}. +0\/ +0;0 +0x0 +0W1 +062 +0s2 +0R3 +014 +0n4 +0M5 +b0 ) +0! +#4100000 +0N +1%$ +1b$ +1A% +1~% +1]& +1<' +1y' +1X( +17) +1t) +1S* +12+ +1o+ +1N, +1-- +1j- +1I. +1(/ +1e/ +1D0 +1#1 +1`1 +1?2 +1|2 +1[3 +1:4 +1w4 +1V5 +1"" +1V" +0z" +0Y# +08$ +0u$ +0T% +03& +0p& +0O' +0.( +0k( +0J) +0)* +0f* +0E+ +0$, +0a, +0@- +0}- +0\. +0;/ +0x/ +0W0 +061 +0s1 +0R2 +013 +0n3 +0M4 +0,5 +0i5 +05" +0r" +0Q# +00$ +0m$ +0L% +0+& +0h& +0G' +0&( +0c( +0B) +0!* +0^* +0=+ +0z+ +0Y, +08- +0u- +0T. +03/ +0p/ +0O0 +0.1 +0k1 +0J2 +0)3 +0f3 +0E4 +0$5 +0a5 +0K +0-" +0B +b11000z0 ; +b11000z0 H +#4110000 +13$ +1p$ +1O% +1.& +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1\, +1;- +1x- +1W. +16/ +1s/ +1R0 +111 +1n1 +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +10" +0)$ +0f$ +0E% +0$& +0a& +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0R, +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +0d1 +0C2 +0"3 +0_3 +0>4 +0{4 +0Z5 +0&" +0U" +1\ +1'$ +b11000z1 ~# +b11000z1 -$ +1d$ +b11000z1 ]$ +b11000z1 j$ +1C% +b11000z1 <% +b11000z1 I% +1"& +b11000z1 y% +b11000z1 (& +1_& +b11000z1 X& +b11000z1 e& +1>' +b11000z1 7' +b11000z1 D' +1{' +b11000z1 t' +b11000z1 #( +1Z( +b11000z1 S( +b11000z1 `( +19) +b11000z1 2) +b11000z1 ?) +1v) +b11000z1 o) +b11000z1 |) +1U* +b11000z1 N* +b11000z1 [* +14+ +b11000z1 -+ +b11000z1 :+ +1q+ +b11000z1 j+ +b11000z1 w+ +1P, +b11000z1 I, +b11000z1 V, +1/- +b11000z1 (- +b11000z1 5- +1l- +b11000z1 e- +b11000z1 r- +1K. +b11000z1 D. +b11000z1 Q. +1*/ +b11000z1 #/ +b11000z1 0/ +1g/ +b11000z1 `/ +b11000z1 m/ +1F0 +b11000z1 ?0 +b11000z1 L0 +1%1 +b11000z1 |0 +b11000z1 +1 +1b1 +b11000z1 [1 +b11000z1 h1 +1A2 +b11000z1 :2 +b11000z1 G2 +1~2 +b11000z1 w2 +b11000z1 &3 +1]3 +b11000z1 V3 +b11000z1 c3 +1<4 +b11000z1 54 +b11000z1 B4 +1y4 +b11000z1 r4 +b11000z1 !5 +1X5 +b11000z1 Q5 +b11000z1 ^5 +1$" +b11000z1 { +b11000z1 *" +#4120000 +0S +1X" +0%# +0b# +0A$ +0~$ +0]% +0<& +0y& +0X' +07( +0t( +0S) +02* +0o* +0N+ +0-, +0j, +0I- +0(. +0e. +0D/ +0#0 +0`0 +0?1 +0|1 +0[2 +0:3 +0w3 +0V4 +055 +0r5 +0>" +#4130000 +03$ +0p$ +0O% +0.& +0k& +0J' +0)( +0f( +0E) +0$* +0a* +0@+ +0}+ +0\, +0;- +0x- +0W. +06/ +0s/ +0R0 +011 +0n1 +0M2 +0,3 +0i3 +0H4 +0'5 +0d5 +00" +18$ +1u$ +1T% +13& +1p& +1O' +1.( +1k( +1J) +1)* +1f* +1E+ +1$, +1a, +1@- +1}- +1\. +1;/ +1x/ +1W0 +161 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +15" +0'$ +b11000z0 ~# +b11000z0 -$ +0d$ +b11000z0 ]$ +b11000z0 j$ +0C% +b11000z0 <% +b11000z0 I% +0"& +b11000z0 y% +b11000z0 (& +0_& +b11000z0 X& +b11000z0 e& +0>' +b11000z0 7' +b11000z0 D' +0{' +b11000z0 t' +b11000z0 #( +0Z( +b11000z0 S( +b11000z0 `( +09) +b11000z0 2) +b11000z0 ?) +0v) +b11000z0 o) +b11000z0 |) +0U* +b11000z0 N* +b11000z0 [* +04+ +b11000z0 -+ +b11000z0 :+ +0q+ +b11000z0 j+ +b11000z0 w+ +0P, +b11000z0 I, +b11000z0 V, +0/- +b11000z0 (- +b11000z0 5- +0l- +b11000z0 e- +b11000z0 r- +0K. +b11000z0 D. +b11000z0 Q. +0*/ +b11000z0 #/ +b11000z0 0/ +0g/ +b11000z0 `/ +b11000z0 m/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0%1 +b11000z0 |0 +b11000z0 +1 +0b1 +b11000z0 [1 +b11000z0 h1 +0A2 +b11000z0 :2 +b11000z0 G2 +0~2 +b11000z0 w2 +b11000z0 &3 +0]3 +b11000z0 V3 +b11000z0 c3 +0<4 +b11000z0 54 +b11000z0 B4 +0y4 +b11000z0 r4 +b11000z0 !5 +0X5 +b11000z0 Q5 +b11000z0 ^5 +0$" +b11000z0 { +b11000z0 *" +0p +1] +#4140000 +0\ +1x +0&# +0c# +0B$ +0!% +0^% +0=& +0z& +0Y' +08( +0u( +0T) +03* +0p* +0O+ +0., +0k, +0J- +0). +0f. +0E/ +0$0 +0a0 +0@1 +0}1 +0\2 +0;3 +0x3 +0W4 +065 +0s5 +0?" +#4150000 +08$ +0u$ +0T% +03& +0p& +0O' +0.( +0k( +0J) +0)* +0f* +0E+ +0$, +0a, +0@- +0}- +0\. +0;/ +0x/ +0W0 +061 +0s1 +0R2 +013 +0n3 +0M4 +0,5 +0i5 +05" +1A$ +1~$ +1]% +1<& +1y& +1X' +17( +1t( +1S) +12* +1o* +1N+ +1-, +1j, +1I- +1(. +1e. +1D/ +1#0 +1`0 +1?1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +1>" +1J +#4160000 +0] +0q" +0P# +0/$ +0l$ +0K% +0*& +0g& +0F' +0%( +0b( +0A) +0~) +0]* +0<+ +0y+ +0X, +07- +0t- +0S. +02/ +0o/ +0N0 +0-1 +0j1 +0I2 +0(3 +0e3 +0D4 +0#5 +0`5 +0," +#4170000 +1Q +0A$ +0~$ +0]% +0<& +0y& +0X' +07( +0t( +0S) +02* +0o* +0N+ +0-, +0j, +0I- +0(. +0e. +0D/ +0#0 +0`0 +0?1 +0|1 +0[2 +0:3 +0w3 +0V4 +055 +0r5 +0>" +1B$ +1!% +1^% +1=& +1z& +1Y' +18( +1u( +1T) +13* +1p* +1O+ +1., +1k, +1J- +1). +1f. +1E/ +1$0 +1a0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +1?" +1F +b11010z0 ; +b11010z0 H +10 +#4180000 +0J +0m" +0L# +0+$ +0h$ +0G% +0&& +0c& +0B' +0!( +0^( +0=) +0z) +0Y* +08+ +0u+ +0T, +03- +0p- +0O. +0./ +0k/ +0J0 +0)1 +0f1 +0E2 +0$3 +0a3 +0@4 +0}4 +0\5 +0(" +#4190000 +0B$ +0!% +0^% +0=& +0z& +0Y' +08( +0u( +0T) +03* +0p* +0O+ +0., +0k, +0J- +0). +0f. +0E/ +0$0 +0a0 +0@1 +0}1 +0\2 +0;3 +0x3 +0W4 +065 +0s5 +0?" +1/$ +1l$ +1K% +1*& +1g& +1F' +1%( +1b( +1A) +1~) +1]* +1<+ +1y+ +1X, +17- +1t- +1S. +12/ +1o/ +1N0 +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +1," +17 +b11111111111111111111111111111111 # +b11111111111111111111111111111111 / +#4200000 +0F +0\" +0;# +0x# +0W$ +06% +0s% +0R& +01' +0n' +0M( +0,) +0i) +0H* +0'+ +0d+ +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +0s +b1 # +b1 / +#4210000 +b111111111111111111111111111111zz + +0/$ +0l$ +0K% +0*& +0g& +0F' +0%( +0b( +0A) +0~) +0]* +0<+ +0y+ +0X, +07- +0t- +0S. +02/ +0o/ +0N0 +0-1 +0j1 +0I2 +0(3 +0e3 +0D4 +0#5 +0`5 +0," +1+$ +1h$ +1G% +1&& +1c& +1B' +1!( +1^( +1=) +1z) +1Y* +18+ +1u+ +1T, +13- +1p- +1O. +1./ +1k/ +1J0 +1)1 +1f1 +1E2 +1$3 +1a3 +1@4 +1}4 +1\5 +1(" +#4220000 +07 +b0 # +b0 / +#4230000 +b1 1 +0+$ +0h$ +0G% +0&& +0c& +0B' +0!( +0^( +0=) +0z) +0Y* +08+ +0u+ +0T, +03- +0p- +0O. +0./ +0k/ +0J0 +0)1 +0f1 +0E2 +0$3 +0a3 +0@4 +0}4 +0\5 +0(" +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +1s +b11111111111111111111111111111000 # +b11111111111111111111111111111000 / +#4240000 +b1zz + +#4250000 +b11 1 +0x# +0W$ +06% +0s% +0R& +01' +0n' +0M( +0,) +0i) +0H* +0'+ +0d+ +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +0s +b0 # +b0 / +#4260000 +b111111111111111111111111111111zz + +#4280000 +b111 1 +#4300000 +b1111 1 +#4320000 +b11111 1 +#4340000 +b111111 1 +#4360000 +b1111111 1 +#4380000 +b11111111 1 +#4400000 +b111111111 1 +#4420000 +b1111111111 1 +#4440000 +b11111111111 1 +#4460000 +b111111111111 1 +#4480000 +b1111111111111 1 +#4500000 +b11111111111111 1 +#4520000 +b111111111111111 1 +#4540000 +b1111111111111111 1 +#4560000 +b11111111111111111 1 +#4580000 +b111111111111111111 1 +#4600000 +b1111111111111111111 1 +#4620000 +b11111111111111111111 1 +#4640000 +b111111111111111111111 1 +#4660000 +b1111111111111111111111 1 +#4680000 +b11111111111111111111111 1 +#4700000 +b111111111111111111111111 1 +#4720000 +b1111111111111111111111111 1 +#4740000 +b11111111111111111111111111 1 +#4760000 +b111111111111111111111111111 1 +#4780000 +b1111111111111111111111111111 1 +#4800000 +b11111111111111111111111111111 1 +#4820000 +b111111111111111111111111111111 1 +#4840000 +1$ +b1111111111111111111111111111111 1 +#6000000 +16 +1Z" +19# +1v# +1U$ +14% +1q% +1P& +1/' +1l' +1K( +1*) +1g) +1F* +1%+ +1b+ +1A, +1~, +1]- +1<. +1y. +1X/ +170 +1t0 +1S1 +122 +1o2 +1N3 +1-4 +1j4 +1I5 +15 +b1 & +b1 . +b1111111111111111111111111111111 % +b1111111111111111111111111111111 - +#6010000 +0+# +0h# +0G$ +0&% +0c% +0B& +0!' +0^' +0=( +0z( +0Y) +08* +0u* +0T+ +03, +0p, +0O- +0.. +0k. +0J/ +0)0 +0f0 +0E1 +0$2 +0a2 +0@3 +0}3 +0\4 +0;5 +0x5 +0a +0b +b1000z0 b" +b1000z0 o" +b1000z0 A# +b1000z0 N# +b1000z0 ~# +b1000z0 -$ +b1000z0 ]$ +b1000z0 j$ +b1000z0 <% +b1000z0 I% +b1000z0 y% +b1000z0 (& +b1000z0 X& +b1000z0 e& +b1000z0 7' +b1000z0 D' +b1000z0 t' +b1000z0 #( +b1000z0 S( +b1000z0 `( +b1000z0 2) +b1000z0 ?) +b1000z0 o) +b1000z0 |) +b1000z0 N* +b1000z0 [* +b1000z0 -+ +b1000z0 :+ +b1000z0 j+ +b1000z0 w+ +b1000z0 I, +b1000z0 V, +b1000z0 (- +b1000z0 5- +b1000z0 e- +b1000z0 r- +b1000z0 D. +b1000z0 Q. +b1000z0 #/ +b1000z0 0/ +b1000z0 `/ +b1000z0 m/ +b1000z0 ?0 +b1000z0 L0 +b1000z0 |0 +b1000z0 +1 +b1000z0 [1 +b1000z0 h1 +b1000z0 :2 +b1000z0 G2 +b1000z0 w2 +b1000z0 &3 +b1000z0 V3 +b1000z0 c3 +b1000z0 54 +b1000z0 B4 +b1000z0 r4 +b1000z0 !5 +b1000z0 Q5 +b1000z0 ^5 +b10z0 ; +b10z0 H +#6020000 +1,# +1i# +1H$ +1'% +1d% +1C& +1"' +1_' +1>( +1{( +1Z) +19* +1v* +1U+ +14, +1q, +1P- +1/. +1l. +1K/ +1*0 +1g0 +1F1 +1%2 +1b2 +1A3 +1~3 +1]4 +1<5 +1y5 +1` +1c +b101000z0 b" +b101000z0 o" +b101000z0 A# +b101000z0 N# +b101000z0 ~# +b101000z0 -$ +b101000z0 ]$ +b101000z0 j$ +b101000z0 <% +b101000z0 I% +b101000z0 y% +b101000z0 (& +b101000z0 X& +b101000z0 e& +b101000z0 7' +b101000z0 D' +b101000z0 t' +b101000z0 #( +b101000z0 S( +b101000z0 `( +b101000z0 2) +b101000z0 ?) +b101000z0 o) +b101000z0 |) +b101000z0 N* +b101000z0 [* +b101000z0 -+ +b101000z0 :+ +b101000z0 j+ +b101000z0 w+ +b101000z0 I, +b101000z0 V, +b101000z0 (- +b101000z0 5- +b101000z0 e- +b101000z0 r- +b101000z0 D. +b101000z0 Q. +b101000z0 #/ +b101000z0 0/ +b101000z0 `/ +b101000z0 m/ +b101000z0 ?0 +b101000z0 L0 +b101000z0 |0 +b101000z0 +1 +b101000z0 [1 +b101000z0 h1 +b101000z0 :2 +b101000z0 G2 +b101000z0 w2 +b101000z0 &3 +b101000z0 V3 +b101000z0 c3 +b101000z0 54 +b101000z0 B4 +b101000z0 r4 +b101000z0 !5 +b101000z0 Q5 +b101000z0 ^5 +b100110z0 ; +b100110z0 H +1d" +1C# +1"$ +1_$ +1>% +1{% +1Z& +19' +1v' +1U( +14) +1q) +1P* +1/+ +1l+ +1K, +1*- +1g- +1F. +1%/ +1b/ +1A0 +1~0 +1]1 +1<2 +1y2 +1X3 +174 +1t4 +1S5 +1= +#6030000 +1w" +1V# +15$ +1r$ +1Q% +10& +1m& +1L' +1+( +1h( +1G) +1&* +1c* +1B+ +1!, +1^, +1=- +1z- +1Y. +18/ +1u/ +1T0 +131 +1p1 +1O2 +1.3 +1k3 +1J4 +1)5 +1f5 +19 +b101001z0 b" +b101001z0 o" +1e" +b101001z0 A# +b101001z0 N# +1D# +b101001z0 ~# +b101001z0 -$ +1#$ +b101001z0 ]$ +b101001z0 j$ +1`$ +b101001z0 <% +b101001z0 I% +1?% +b101001z0 y% +b101001z0 (& +1|% +b101001z0 X& +b101001z0 e& +1[& +b101001z0 7' +b101001z0 D' +1:' +b101001z0 t' +b101001z0 #( +1w' +b101001z0 S( +b101001z0 `( +1V( +b101001z0 2) +b101001z0 ?) +15) +b101001z0 o) +b101001z0 |) +1r) +b101001z0 N* +b101001z0 [* +1Q* +b101001z0 -+ +b101001z0 :+ +10+ +b101001z0 j+ +b101001z0 w+ +1m+ +b101001z0 I, +b101001z0 V, +1L, +b101001z0 (- +b101001z0 5- +1+- +b101001z0 e- +b101001z0 r- +1h- +b101001z0 D. +b101001z0 Q. +1G. +b101001z0 #/ +b101001z0 0/ +1&/ +b101001z0 `/ +b101001z0 m/ +1c/ +b101001z0 ?0 +b101001z0 L0 +1B0 +b101001z0 |0 +b101001z0 +1 +1!1 +b101001z0 [1 +b101001z0 h1 +1^1 +b101001z0 :2 +b101001z0 G2 +1=2 +b101001z0 w2 +b101001z0 &3 +1z2 +b101001z0 V3 +b101001z0 c3 +1Y3 +b101001z0 54 +b101001z0 B4 +184 +b101001z0 r4 +b101001z0 !5 +1u4 +b101001z0 Q5 +b101001z0 ^5 +1T5 +1> +#6040000 +0h" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +0A +1e +#6050000 +1< +1j" +1I# +1($ +1e$ +1D% +1#& +1`& +1?' +1|' +1[( +1:) +1w) +1V* +15+ +1r+ +1Q, +10- +1m- +1L. +1+/ +1h/ +1G0 +1&1 +1c1 +1B2 +1!3 +1^3 +1=4 +1z4 +1Y5 +1C +#6060000 +1n +0> +#6070000 +1]" +1u" +1T# +13$ +1p$ +1O% +1.& +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1\, +1;- +1x- +1W. +16/ +1s/ +1R0 +111 +1n1 +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +1N +1A +18 +b1 ) +1i" +b101001z1 b" +b101001z1 o" +1H# +b101001z1 A# +b101001z1 N# +1'$ +b101001z1 ~# +b101001z1 -$ +1d$ +b101001z1 ]$ +b101001z1 j$ +1C% +b101001z1 <% +b101001z1 I% +1"& +b101001z1 y% +b101001z1 (& +1_& +b101001z1 X& +b101001z1 e& +1>' +b101001z1 7' +b101001z1 D' +1{' +b101001z1 t' +b101001z1 #( +1Z( +b101001z1 S( +b101001z1 `( +19) +b101001z1 2) +b101001z1 ?) +1v) +b101001z1 o) +b101001z1 |) +1U* +b101001z1 N* +b101001z1 [* +14+ +b101001z1 -+ +b101001z1 :+ +1q+ +b101001z1 j+ +b101001z1 w+ +1P, +b101001z1 I, +b101001z1 V, +1/- +b101001z1 (- +b101001z1 5- +1l- +b101001z1 e- +b101001z1 r- +1K. +b101001z1 D. +b101001z1 Q. +1*/ +b101001z1 #/ +b101001z1 0/ +1g/ +b101001z1 `/ +b101001z1 m/ +1F0 +b101001z1 ?0 +b101001z1 L0 +1%1 +b101001z1 |0 +b101001z1 +1 +1b1 +b101001z1 [1 +b101001z1 h1 +1A2 +b101001z1 :2 +b101001z1 G2 +1~2 +b101001z1 w2 +b101001z1 &3 +1]3 +b101001z1 V3 +b101001z1 c3 +1<4 +b101001z1 54 +b101001z1 B4 +1y4 +b101001z1 r4 +b101001z1 !5 +1X5 +b101001z1 Q5 +b101001z1 ^5 +1B +b100110z1 ; +b100110z1 H +#6080000 +0g" +1o +0C +#6090000 +1f" +1z" +1Y# +18$ +1u$ +1T% +13& +1p& +1O' +1.( +1k( +1J) +1)* +1f* +1E+ +1$, +1a, +1@- +1}- +1\. +1;/ +1x/ +1W0 +161 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +1S +#6100000 +0N +0j" +1K +0B +b100110z0 ; +b100110z0 H +#6110000 +1<# +1^" +b11 ) +1%# +1b# +1A$ +1~$ +1]% +1<& +1y& +1X' +17( +1t( +1S) +12* +1o* +1N+ +1-, +1j, +1I- +1(. +1e. +1D/ +1#0 +1`0 +1?1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +1\ +#6120000 +0u" +0F# +0S +0i" +b101001z0 b" +b101001z0 o" +#6130000 +1E# +1&# +1c# +1B$ +1!% +1^% +1=& +1z& +1Y' +18( +1u( +1T) +13* +1p* +1O+ +1., +1k, +1J- +1). +1f. +1E/ +1$0 +1a0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +1] +#6140000 +0z" +0I# +0\ +#6150000 +1y# +1=# +b111 ) +1q" +1P# +1/$ +1l$ +1K% +1*& +1g& +1F' +1%( +1b( +1A) +1~) +1]* +1<+ +1y+ +1X, +17- +1t- +1S. +12/ +1o/ +1N0 +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +1J +#6160000 +0T# +0%$ +0%# +0H# +b101001z0 A# +b101001z0 N# +0] +#6170000 +1$$ +1m" +1L# +1+$ +1h$ +1G% +1&& +1c& +1B' +1!( +1^( +1=) +1z) +1Y* +18+ +1u+ +1T, +13- +1p- +1O. +1./ +1k/ +1J0 +1)1 +1f1 +1E2 +1$3 +1a3 +1@4 +1}4 +1\5 +1F +#6180000 +0Y# +0($ +0&# +0J +#6190000 +1X$ +1z# +b1111 ) +1\" +1;# +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +17 +b1111111111111111111111111111111 # +b1111111111111111111111111111111 / +#6200000 +03$ +0b$ +b100000000000000000000000000000zz + +b1111111111111111111111111111110 1 +0b# +0'$ +b101001z0 ~# +b101001z0 -$ +0q" +0F +#6210000 +1a$ +#6220000 +b1000000000000000000000000000000 1 +08$ +0e$ +0c# +0m" +07 +b1111111111111111111111111111110 # +b1111111111111111111111111111110 / +#6230000 +17% +1Y$ +b11111 ) +#6240000 +0$ +0p$ +0A% +b0 1 +0A$ +0d$ +b101001z0 ]$ +b101001z0 j$ +0P# +0\" +b1111111111111111111111111111100 # +b1111111111111111111111111111100 / +#6250000 +b1 1 +1@% +#6260000 +0u$ +0D% +0B$ +0L# +#6270000 +1t% +18% +b111111 ) +#6280000 +0O% +0~% +0~$ +0C% +b101001z0 <% +b101001z0 I% +0/$ +0;# +b1111111111111111111111111111000 # +b1111111111111111111111111111000 / +#6290000 +b100000000000000000000000000001zz + +1}% +#6300000 +0T% +0#& +0!% +0+$ +#6310000 +1S& +b11 1 +1u% +b1111111 ) +#6320000 +0.& +0]& +0]% +0"& +b101001z0 y% +b101001z0 (& +0l$ +0x# +b1111111111111111111111111110000 # +b1111111111111111111111111110000 / +#6330000 +b100000000000000000000000000011zz + +1\& +#6340000 +03& +0`& +0^% +0h$ +#6350000 +12' +b111 1 +1T& +b11111111 ) +#6360000 +0k& +0<' +0<& +0_& +b101001z0 X& +b101001z0 e& +0K% +0W$ +b1111111111111111111111111100000 # +b1111111111111111111111111100000 / +#6370000 +b100000000000000000000000000111zz + +1;' +#6380000 +0p& +0?' +0=& +0G% +#6390000 +1o' +b1111 1 +13' +b111111111 ) +#6400000 +0J' +0y' +0y& +0>' +b101001z0 7' +b101001z0 D' +0*& +06% +b1111111111111111111111111000000 # +b1111111111111111111111111000000 / +#6410000 +b100000000000000000000000001111zz + +1x' +#6420000 +0O' +0|' +0z& +0&& +#6430000 +1N( +b11111 1 +1p' +b1111111111 ) +#6440000 +0)( +0X( +0X' +0{' +b101001z0 t' +b101001z0 #( +0g& +0s% +b1111111111111111111111110000000 # +b1111111111111111111111110000000 / +#6450000 +b100000000000000000000000011111zz + +1W( +#6460000 +0.( +0[( +0Y' +0c& +#6470000 +1-) +b111111 1 +1O( +b11111111111 ) +#6480000 +0f( +07) +07( +0Z( +b101001z0 S( +b101001z0 `( +0F' +0R& +b1111111111111111111111100000000 # +b1111111111111111111111100000000 / +#6490000 +b100000000000000000000000111111zz + +16) +#6500000 +0k( +0:) +08( +0B' +#6510000 +1j) +b1111111 1 +1.) +b111111111111 ) +#6520000 +0E) +0t) +0t( +09) +b101001z0 2) +b101001z0 ?) +0%( +01' +b1111111111111111111111000000000 # +b1111111111111111111111000000000 / +#6530000 +b100000000000000000000001111111zz + +1s) +#6540000 +0J) +0w) +0u( +0!( +#6550000 +1I* +b11111111 1 +1k) +b1111111111111 ) +#6560000 +0$* +0S* +0S) +0v) +b101001z0 o) +b101001z0 |) +0b( +0n' +b1111111111111111111110000000000 # +b1111111111111111111110000000000 / +#6570000 +b100000000000000000000011111111zz + +1R* +#6580000 +0)* +0V* +0T) +0^( +#6590000 +1(+ +b111111111 1 +1J* +b11111111111111 ) +#6600000 +0a* +02+ +02* +0U* +b101001z0 N* +b101001z0 [* +0A) +0M( +b1111111111111111111100000000000 # +b1111111111111111111100000000000 / +#6610000 +b100000000000000000000111111111zz + +11+ +#6620000 +0f* +05+ +03* +0=) +#6630000 +1e+ +b1111111111 1 +1)+ +b111111111111111 ) +#6640000 +0@+ +0o+ +0o* +04+ +b101001z0 -+ +b101001z0 :+ +0~) +0,) +b1111111111111111111000000000000 # +b1111111111111111111000000000000 / +#6650000 +b100000000000000000001111111111zz + +1n+ +#6660000 +0E+ +0r+ +0p* +0z) +#6670000 +1D, +b11111111111 1 +1f+ +b1111111111111111 ) +#6680000 +0}+ +0N, +0N+ +0q+ +b101001z0 j+ +b101001z0 w+ +0]* +0i) +b1111111111111111110000000000000 # +b1111111111111111110000000000000 / +#6690000 +b100000000000000000011111111111zz + +1M, +#6700000 +0$, +0Q, +0O+ +0Y* +#6710000 +1#- +b111111111111 1 +1E, +b11111111111111111 ) +#6720000 +0\, +0-- +0-, +0P, +b101001z0 I, +b101001z0 V, +0<+ +0H* +b1111111111111111100000000000000 # +b1111111111111111100000000000000 / +#6730000 +b100000000000000000111111111111zz + +1,- +#6740000 +0a, +00- +0., +08+ +#6750000 +1`- +b1111111111111 1 +1$- +b111111111111111111 ) +#6760000 +0;- +0j- +0j, +0/- +b101001z0 (- +b101001z0 5- +0y+ +0'+ +b1111111111111111000000000000000 # +b1111111111111111000000000000000 / +#6770000 +b100000000000000001111111111111zz + +1i- +#6780000 +0@- +0m- +0k, +0u+ +#6790000 +1?. +b11111111111111 1 +1a- +b1111111111111111111 ) +#6800000 +0x- +0I. +0I- +0l- +b101001z0 e- +b101001z0 r- +0X, +0d+ +b1111111111111110000000000000000 # +b1111111111111110000000000000000 / +#6810000 +b100000000000000011111111111111zz + +1H. +#6820000 +0}- +0L. +0J- +0T, +#6830000 +1|. +b111111111111111 1 +1@. +b11111111111111111111 ) +#6840000 +0W. +0(/ +0(. +0K. +b101001z0 D. +b101001z0 Q. +07- +0C, +b1111111111111100000000000000000 # +b1111111111111100000000000000000 / +#6850000 +b100000000000000111111111111111zz + +1'/ +#6860000 +0\. +0+/ +0). +03- +#6870000 +1[/ +b1111111111111111 1 +1}. +b111111111111111111111 ) +#6880000 +06/ +0e/ +0e. +0*/ +b101001z0 #/ +b101001z0 0/ +0t- +0"- +b1111111111111000000000000000000 # +b1111111111111000000000000000000 / +#6890000 +b100000000000001111111111111111zz + +1d/ +#6900000 +0;/ +0h/ +0f. +0p- +#6910000 +1:0 +b11111111111111111 1 +1\/ +b1111111111111111111111 ) +#6920000 +0s/ +0D0 +0D/ +0g/ +b101001z0 `/ +b101001z0 m/ +0S. +0_- +b1111111111110000000000000000000 # +b1111111111110000000000000000000 / +#6930000 +b100000000000011111111111111111zz + +1C0 +#6940000 +0x/ +0G0 +0E/ +0O. +#6950000 +1w0 +b111111111111111111 1 +1;0 +b11111111111111111111111 ) +#6960000 +0R0 +0#1 +0#0 +0F0 +b101001z0 ?0 +b101001z0 L0 +02/ +0>. +b1111111111100000000000000000000 # +b1111111111100000000000000000000 / +#6970000 +b100000000000111111111111111111zz + +1"1 +#6980000 +0W0 +0&1 +0$0 +0./ +#6990000 +1V1 +b1111111111111111111 1 +1x0 +b111111111111111111111111 ) +#7000000 +011 +0`1 +0`0 +0%1 +b101001z0 |0 +b101001z0 +1 +0o/ +0{. +b1111111111000000000000000000000 # +b1111111111000000000000000000000 / +#7010000 +b100000000001111111111111111111zz + +1_1 +#7020000 +061 +0c1 +0a0 +0k/ +#7030000 +152 +b11111111111111111111 1 +1W1 +b1111111111111111111111111 ) +#7040000 +0n1 +0?2 +0?1 +0b1 +b101001z0 [1 +b101001z0 h1 +0N0 +0Z/ +b1111111110000000000000000000000 # +b1111111110000000000000000000000 / +#7050000 +b100000000011111111111111111111zz + +1>2 +#7060000 +0s1 +0B2 +0@1 +0J0 +#7070000 +1r2 +b111111111111111111111 1 +162 +b11111111111111111111111111 ) +#7080000 +0M2 +0|2 +0|1 +0A2 +b101001z0 :2 +b101001z0 G2 +0-1 +090 +b1111111100000000000000000000000 # +b1111111100000000000000000000000 / +#7090000 +b100000000111111111111111111111zz + +1{2 +#7100000 +0R2 +0!3 +0}1 +0)1 +#7110000 +1Q3 +b1111111111111111111111 1 +1s2 +b111111111111111111111111111 ) +#7120000 +0,3 +0[3 +0[2 +0~2 +b101001z0 w2 +b101001z0 &3 +0j1 +0v0 +b1111111000000000000000000000000 # +b1111111000000000000000000000000 / +#7130000 +b100000001111111111111111111111zz + +1Z3 +#7140000 +013 +0^3 +0\2 +0f1 +#7150000 +104 +b11111111111111111111111 1 +1R3 +b1111111111111111111111111111 ) +#7160000 +0i3 +0:4 +0:3 +0]3 +b101001z0 V3 +b101001z0 c3 +0I2 +0U1 +b1111110000000000000000000000000 # +b1111110000000000000000000000000 / +#7170000 +b100000011111111111111111111111zz + +194 +#7180000 +0n3 +0=4 +0;3 +0E2 +#7190000 +1m4 +b111111111111111111111111 1 +114 +b11111111111111111111111111111 ) +#7200000 +0H4 +0w4 +0w3 +0<4 +b101001z0 54 +b101001z0 B4 +0(3 +042 +b1111100000000000000000000000000 # +b1111100000000000000000000000000 / +#7210000 +b100000111111111111111111111111zz + +1v4 +#7220000 +0M4 +0z4 +0x3 +0$3 +#7230000 +1L5 +b1111111111111111111111111 1 +1n4 +b111111111111111111111111111111 ) +#7240000 +0'5 +0V5 +0V4 +0y4 +b101001z0 r4 +b101001z0 !5 +0e3 +0q2 +b1111000000000000000000000000000 # +b1111000000000000000000000000000 / +#7250000 +b100001111111111111111111111111zz + +1U5 +#7260000 +0,5 +0Y5 +0W4 +0a3 +#7270000 +1t +b11111111111111111111111111 1 +1M5 +b1111111111111111111111111111111 ) +#7280000 +0d5 +0"" +0V" +055 +0X5 +b101001z0 Q5 +b101001z0 ^5 +0D4 +0P3 +b1110000000000000000000000000000 # +b1110000000000000000000000000000 / +#7290000 +b100011111111111111111111111111zz + +1&" +1U" +#7300000 +0i5 +0X" +065 +0@4 +1" +#7310000 +10" +b111111111111111111111111111 1 +1$" +b11000z1 { +b11000z1 *" +1p +#7320000 +0r5 +0x +0#5 +0/4 +b1100000000000000000000000000000 # +b1100000000000000000000000000000 / +#7330000 +b100111111111111111111111111111zz + +15" +#7340000 +0s5 +0}4 +#7350000 +b1111111111111111111111111111 1 +1>" +#7360000 +0`5 +0l4 +b1000000000000000000000000000000 # +b1000000000000000000000000000000 / +#7370000 +b101111111111111111111111111111zz + +1?" +#7380000 +0\5 +#7390000 +b11111111111111111111111111111 1 +1," +#7400000 +0K5 +b0 # +b0 / +#7410000 +b111111111111111111111111111111zz + +1(" +#7430000 +b111111111111111111111111111111 1 +1s +b10000000000000000000000000000000 # +b10000000000000000000000000000000 / +#7440000 +b11111111111111111111111111111zz + +#8000000 +13 +1* +09# +0v# +0U$ +04% +0q% +0P& +0/' +0l' +0K( +0*) +0g) +0F* +0%+ +0b+ +0A, +0~, +0]- +0<. +0y. +0X/ +070 +0t0 +0S1 +022 +0o2 +0N3 +0-4 +0j4 +0I5 +b1 ' +b1 ( +b1 2 +b11 % +b11 - +#8010000 +1h# +1G$ +1&% +1c% +1B& +1!' +1^' +1=( +1z( +1Y) +18* +1u* +1T+ +13, +1p, +1O- +1.. +1k. +1J/ +1)0 +1f0 +1E1 +1$2 +1a2 +1@3 +1}3 +1\4 +1;5 +1x5 +0@ +b111001z0 A# +b111001z0 N# +b111001z0 ~# +b111001z0 -$ +b111001z0 ]$ +b111001z0 j$ +b111001z0 <% +b111001z0 I% +b111001z0 y% +b111001z0 (& +b111001z0 X& +b111001z0 e& +b111001z0 7' +b111001z0 D' +b111001z0 t' +b111001z0 #( +b111001z0 S( +b111001z0 `( +b111001z0 2) +b111001z0 ?) +b111001z0 o) +b111001z0 |) +b111001z0 N* +b111001z0 [* +b111001z0 -+ +b111001z0 :+ +b111001z0 j+ +b111001z0 w+ +b111001z0 I, +b111001z0 V, +b111001z0 (- +b111001z0 5- +b111001z0 e- +b111001z0 r- +b111001z0 D. +b111001z0 Q. +b111001z0 #/ +b111001z0 0/ +b111001z0 `/ +b111001z0 m/ +b111001z0 ?0 +b111001z0 L0 +b111001z0 |0 +b111001z0 +1 +b111001z0 [1 +b111001z0 h1 +b111001z0 :2 +b111001z0 G2 +b111001z0 w2 +b111001z0 &3 +b111001z0 V3 +b111001z0 c3 +b111001z0 54 +b111001z0 B4 +b111001z0 r4 +b111001z0 !5 +b111001z0 Q5 +b111001z0 ^5 +#8020000 +0i# +0H$ +0'% +0d% +0C& +0"' +0_' +0>( +0{( +0Z) +09* +0v* +0U+ +04, +0q, +0P- +0/. +0l. +0K/ +0*0 +0g0 +0F1 +0%2 +0b2 +0A3 +0~3 +0]4 +0<5 +0y5 +b11001z0 A# +b11001z0 N# +b11001z0 ~# +b11001z0 -$ +b11001z0 ]$ +b11001z0 j$ +b11001z0 <% +b11001z0 I% +b11001z0 y% +b11001z0 (& +b11001z0 X& +b11001z0 e& +b11001z0 7' +b11001z0 D' +b11001z0 t' +b11001z0 #( +b11001z0 S( +b11001z0 `( +b11001z0 2) +b11001z0 ?) +b11001z0 o) +b11001z0 |) +b11001z0 N* +b11001z0 [* +b11001z0 -+ +b11001z0 :+ +b11001z0 j+ +b11001z0 w+ +b11001z0 I, +b11001z0 V, +b11001z0 (- +b11001z0 5- +b11001z0 e- +b11001z0 r- +b11001z0 D. +b11001z0 Q. +b11001z0 #/ +b11001z0 0/ +b11001z0 `/ +b11001z0 m/ +b11001z0 ?0 +b11001z0 L0 +b11001z0 |0 +b11001z0 +1 +b11001z0 [1 +b11001z0 h1 +b11001z0 :2 +b11001z0 G2 +b11001z0 w2 +b11001z0 &3 +b11001z0 V3 +b11001z0 c3 +b11001z0 54 +b11001z0 B4 +b11001z0 r4 +b11001z0 !5 +b11001z0 Q5 +b11001z0 ^5 +1D +1? +0C# +0"$ +0_$ +0>% +0{% +0Z& +09' +0v' +0U( +04) +0q) +0P* +0/+ +0l+ +0K, +0*- +0g- +0F. +0%/ +0b/ +0A0 +0~0 +0]1 +0<2 +0y2 +0X3 +074 +0t4 +0S5 +#8030000 +0V# +05$ +0r$ +0Q% +00& +0m& +0L' +0+( +0h( +0G) +0&* +0c* +0B+ +0!, +0^, +0=- +0z- +0Y. +08/ +0u/ +0T0 +031 +0p1 +0O2 +0.3 +0k3 +0J4 +0)5 +0f5 +09 +1y +1_" +1># +1{# +1Z$ +19% +1v% +1U& +14' +1q' +1P( +1/) +1l) +1K* +1*+ +1g+ +1F, +1%- +1b- +1A. +1~. +1]/ +1<0 +1y0 +1X1 +172 +1t2 +1S3 +124 +1o4 +1N5 +b11000z0 A# +b11000z0 N# +0D# +b11000z0 ~# +b11000z0 -$ +0#$ +b11000z0 ]$ +b11000z0 j$ +0`$ +b11000z0 <% +b11000z0 I% +0?% +b11000z0 y% +b11000z0 (& +0|% +b11000z0 X& +b11000z0 e& +0[& +b11000z0 7' +b11000z0 D' +0:' +b11000z0 t' +b11000z0 #( +0w' +b11000z0 S( +b11000z0 `( +0V( +b11000z0 2) +b11000z0 ?) +05) +b11000z0 o) +b11000z0 |) +0r) +b11000z0 N* +b11000z0 [* +0Q* +b11000z0 -+ +b11000z0 :+ +00+ +b11000z0 j+ +b11000z0 w+ +0m+ +b11000z0 I, +b11000z0 V, +0L, +b11000z0 (- +b11000z0 5- +0+- +b11000z0 e- +b11000z0 r- +0h- +b11000z0 D. +b11000z0 Q. +0G. +b11000z0 #/ +b11000z0 0/ +0&/ +b11000z0 `/ +b11000z0 m/ +0c/ +b11000z0 ?0 +b11000z0 L0 +0B0 +b11000z0 |0 +b11000z0 +1 +0!1 +b11000z0 [1 +b11000z0 h1 +0^1 +b11000z0 :2 +b11000z0 G2 +0=2 +b11000z0 w2 +b11000z0 &3 +0z2 +b11000z0 V3 +b11000z0 c3 +0Y3 +b11000z0 54 +b11000z0 B4 +084 +b11000z0 r4 +b11000z0 !5 +0u4 +b11000z0 Q5 +b11000z0 ^5 +0T5 +#8040000 +1N +1G# +1&$ +1c$ +1B% +1!& +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +1B +b100110z1 ; +b100110z1 H +0E# +0$$ +0a$ +0@% +0}% +0\& +0;' +0x' +0W( +06) +0s) +0R* +01+ +0n+ +0M, +0,- +0i- +0H. +0'/ +0d/ +0C0 +0"1 +0_1 +0>2 +0{2 +0Z3 +094 +0v4 +0U5 +#8050000 +0< +1} +1c" +1C# +1"$ +1_$ +1>% +1{% +1Z& +19' +1v' +1U( +14) +1q) +1P* +1/+ +1l+ +1K, +1*- +1g- +1F. +1%/ +1b/ +1A0 +1~0 +1]1 +1<2 +1y2 +1X3 +174 +1t4 +1S5 +#8060000 +0y# +0X$ +07% +0t% +0S& +02' +0o' +0N( +0-) +0j) +0I* +0(+ +0e+ +0D, +0#- +0`- +0?. +0|. +0[/ +0:0 +0w0 +0V1 +052 +0r2 +0Q3 +004 +0m4 +0L5 +0t +1S +1J# +1)$ +1f$ +1E% +1$& +1a& +1@' +1}' +1\( +1;) +1x) +1W* +16+ +1s+ +1R, +11- +1n- +1M. +1,/ +1i/ +1H0 +1'1 +1d1 +1C2 +1"3 +1_3 +1>4 +1{4 +1Z5 +0=# +0z# +0Y$ +08% +0u% +0T& +03' +0p' +0O( +0.) +0k) +0J* +0)+ +0f+ +0E, +0$- +0a- +0@. +0}. +0\/ +0;0 +0x0 +0W1 +062 +0s2 +0R3 +014 +0n4 +0M5 +b11 ) +1> +1~ +0e" +1D# +1#$ +1`$ +1?% +1|% +1[& +1:' +1w' +1V( +15) +1r) +1Q* +10+ +1m+ +1L, +1+- +1h- +1G. +1&/ +1c/ +1B0 +1!1 +1^1 +1=2 +1z2 +1Y3 +184 +1u4 +1T5 +#8070000 +1%$ +1b$ +1A% +1~% +1]& +1<' +1y' +1X( +17) +1t) +1S* +12+ +1o+ +1N, +1-- +1j- +1I. +1(/ +1e/ +1D0 +1#1 +1`1 +1?2 +1|2 +1[3 +1:4 +1w4 +1V5 +1"" +1V" +0A +0#" +1h" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +1E# +#8080000 +1T# +13$ +1p$ +1O% +1.& +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1\, +1;- +1x- +1W. +16/ +1s/ +1R0 +111 +1n1 +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +0)$ +0f$ +0E% +0$& +0a& +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0R, +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +0d1 +0C2 +0"3 +0_3 +0>4 +0{4 +0Z5 +0&" +0U" +1\ +1H# +b11000z1 A# +b11000z1 N# +1'$ +b11000z1 ~# +b11000z1 -$ +1d$ +b11000z1 ]$ +b11000z1 j$ +1C% +b11000z1 <% +b11000z1 I% +1"& +b11000z1 y% +b11000z1 (& +1_& +b11000z1 X& +b11000z1 e& +1>' +b11000z1 7' +b11000z1 D' +1{' +b11000z1 t' +b11000z1 #( +1Z( +b11000z1 S( +b11000z1 `( +19) +b11000z1 2) +b11000z1 ?) +1v) +b11000z1 o) +b11000z1 |) +1U* +b11000z1 N* +b11000z1 [* +14+ +b11000z1 -+ +b11000z1 :+ +1q+ +b11000z1 j+ +b11000z1 w+ +1P, +b11000z1 I, +b11000z1 V, +1/- +b11000z1 (- +b11000z1 5- +1l- +b11000z1 e- +b11000z1 r- +1K. +b11000z1 D. +b11000z1 Q. +1*/ +b11000z1 #/ +b11000z1 0/ +1g/ +b11000z1 `/ +b11000z1 m/ +1F0 +b11000z1 ?0 +b11000z1 L0 +1%1 +b11000z1 |0 +b11000z1 +1 +1b1 +b11000z1 [1 +b11000z1 h1 +1A2 +b11000z1 :2 +b11000z1 G2 +1~2 +b11000z1 w2 +b11000z1 &3 +1]3 +b11000z1 V3 +b11000z1 c3 +1<4 +b11000z1 54 +b11000z1 B4 +1y4 +b11000z1 r4 +b11000z1 !5 +1X5 +b11000z1 Q5 +b11000z1 ^5 +#8090000 +1y# +1($ +1e$ +1D% +1#& +1`& +1?' +1|' +1[( +1:) +1w) +1V* +15+ +1r+ +1Q, +10- +1m- +1L. +1+/ +1h/ +1G0 +1&1 +1c1 +1B2 +1!3 +1^3 +1=4 +1z4 +1Y5 +1%" +1X" +0D +1k" +0J# +1=# +b111 ) +0" +#8100000 +0%$ +1Y# +18$ +1u$ +1T% +13& +1p& +1O' +1.( +1k( +1J) +1)* +1f* +1E+ +1$, +1a, +1@- +1}- +1\. +1;/ +1x/ +1W0 +161 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +0p +1] +#8110000 +0N +1u" +0T# +1$$ +1x +0B +b100110z0 ; +b100110z0 H +1i" +b101001z1 b" +b101001z1 o" +0H# +b11000z0 A# +b11000z0 N# +#8120000 +0($ +1b# +1A$ +1~$ +1]% +1<& +1y& +1X' +17( +1t( +1S) +12* +1o* +1N+ +1-, +1j, +1I- +1(. +1e. +1D/ +1#0 +1`0 +1?1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +1J +#8130000 +1X$ +0S +1z" +0Y# +1z# +b1111 ) +#8140000 +03$ +0b$ +0'$ +b11000z0 ~# +b11000z0 -$ +1c# +1B$ +1!% +1^% +1=& +1z& +1Y' +18( +1u( +1T) +13* +1p* +1O+ +1., +1k, +1J- +1). +1f. +1E/ +1$0 +1a0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +1F +#8150000 +1a$ +0\ +1%# +0b# +#8160000 +08$ +0e$ +1P# +1/$ +1l$ +1K% +1*& +1g& +1F' +1%( +1b( +1A) +1~) +1]* +1<+ +1y+ +1X, +17- +1t- +1S. +12/ +1o/ +1N0 +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +17 +b10000000000000000000000000000001 # +b10000000000000000000000000000001 / +#8170000 +17% +b111111111111111111111111111110 1 +1Y$ +b11111 ) +0] +1&# +0c# +#8180000 +0p$ +0A% +0A$ +0d$ +b11000z0 ]$ +b11000z0 j$ +1L# +1+$ +1h$ +1G% +1&& +1c& +1B' +1!( +1^( +1=) +1z) +1Y* +18+ +1u+ +1T, +13- +1p- +1O. +1./ +1k/ +1J0 +1)1 +1f1 +1E2 +1$3 +1a3 +1@4 +1}4 +1\5 +#8190000 +b111111111111111111111111111100 1 +1@% +0J +1q" +0P# +#8200000 +0u$ +0D% +0B$ +1;# +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +b11111111111111111111111111111101 # +b11111111111111111111111111111101 / +#8210000 +1t% +b0zz + +b111111111111111111111111111000 1 +18% +b111111 ) +0F +1m" +0L# +#8220000 +0O% +0~% +0~$ +0C% +b11000z0 <% +b11000z0 I% +0/$ +#8230000 +b0 1 +1}% +07 +1\" +0;# +b11111111111111111111111111111010 # +b11111111111111111111111111111010 / +#8240000 +b1zz + +0T% +0#& +0!% +0+$ +#8250000 +1S& +1u% +b1111111 ) +#8260000 +0.& +0]& +0]% +0"& +b11000z0 y% +b11000z0 (& +0l$ +0x# +b11111111111111111111111111110010 # +b11111111111111111111111111110010 / +#8270000 +b11zz + +1\& +#8280000 +03& +0`& +0^% +0h$ +#8290000 +12' +1T& +b11111111 ) +#8300000 +0k& +0<' +0<& +0_& +b11000z0 X& +b11000z0 e& +0K% +0W$ +b11111111111111111111111111100010 # +b11111111111111111111111111100010 / +#8310000 +b111zz + +1;' +#8320000 +0p& +0?' +0=& +0G% +#8330000 +1o' +13' +b111111111 ) +#8340000 +0J' +0y' +0y& +0>' +b11000z0 7' +b11000z0 D' +0*& +06% +b11111111111111111111111111000010 # +b11111111111111111111111111000010 / +#8350000 +b1111zz + +1x' +#8360000 +0O' +0|' +0z& +0&& +#8370000 +1N( +1p' +b1111111111 ) +#8380000 +0)( +0X( +0X' +0{' +b11000z0 t' +b11000z0 #( +0g& +0s% +b11111111111111111111111110000010 # +b11111111111111111111111110000010 / +#8390000 +b11111zz + +1W( +#8400000 +0.( +0[( +0Y' +0c& +#8410000 +1-) +1O( +b11111111111 ) +#8420000 +0f( +07) +07( +0Z( +b11000z0 S( +b11000z0 `( +0F' +0R& +b11111111111111111111111100000010 # +b11111111111111111111111100000010 / +#8430000 +b111111zz + +16) +#8440000 +0k( +0:) +08( +0B' +#8450000 +1j) +1.) +b111111111111 ) +#8460000 +0E) +0t) +0t( +09) +b11000z0 2) +b11000z0 ?) +0%( +01' +b11111111111111111111111000000010 # +b11111111111111111111111000000010 / +#8470000 +b1111111zz + +1s) +#8480000 +0J) +0w) +0u( +0!( +#8490000 +1I* +1k) +b1111111111111 ) +#8500000 +0$* +0S* +0S) +0v) +b11000z0 o) +b11000z0 |) +0b( +0n' +b11111111111111111111110000000010 # +b11111111111111111111110000000010 / +#8510000 +b11111111zz + +1R* +#8520000 +0)* +0V* +0T) +0^( +#8530000 +1(+ +1J* +b11111111111111 ) +#8540000 +0a* +02+ +02* +0U* +b11000z0 N* +b11000z0 [* +0A) +0M( +b11111111111111111111100000000010 # +b11111111111111111111100000000010 / +#8550000 +b111111111zz + +11+ +#8560000 +0f* +05+ +03* +0=) +#8570000 +1e+ +1)+ +b111111111111111 ) +#8580000 +0@+ +0o+ +0o* +04+ +b11000z0 -+ +b11000z0 :+ +0~) +0,) +b11111111111111111111000000000010 # +b11111111111111111111000000000010 / +#8590000 +b1111111111zz + +1n+ +#8600000 +0E+ +0r+ +0p* +0z) +#8610000 +1D, +1f+ +b1111111111111111 ) +#8620000 +0}+ +0N, +0N+ +0q+ +b11000z0 j+ +b11000z0 w+ +0]* +0i) +b11111111111111111110000000000010 # +b11111111111111111110000000000010 / +#8630000 +b11111111111zz + +1M, +#8640000 +0$, +0Q, +0O+ +0Y* +#8650000 +1#- +1E, +b11111111111111111 ) +#8660000 +0\, +0-- +0-, +0P, +b11000z0 I, +b11000z0 V, +0<+ +0H* +b11111111111111111100000000000010 # +b11111111111111111100000000000010 / +#8670000 +b111111111111zz + +1,- +#8680000 +0a, +00- +0., +08+ +#8690000 +1`- +1$- +b111111111111111111 ) +#8700000 +0;- +0j- +0j, +0/- +b11000z0 (- +b11000z0 5- +0y+ +0'+ +b11111111111111111000000000000010 # +b11111111111111111000000000000010 / +#8710000 +b1111111111111zz + +1i- +#8720000 +0@- +0m- +0k, +0u+ +#8730000 +1?. +1a- +b1111111111111111111 ) +#8740000 +0x- +0I. +0I- +0l- +b11000z0 e- +b11000z0 r- +0X, +0d+ +b11111111111111110000000000000010 # +b11111111111111110000000000000010 / +#8750000 +b11111111111111zz + +1H. +#8760000 +0}- +0L. +0J- +0T, +#8770000 +1|. +1@. +b11111111111111111111 ) +#8780000 +0W. +0(/ +0(. +0K. +b11000z0 D. +b11000z0 Q. +07- +0C, +b11111111111111100000000000000010 # +b11111111111111100000000000000010 / +#8790000 +b111111111111111zz + +1'/ +#8800000 +0\. +0+/ +0). +03- +#8810000 +1[/ +1}. +b111111111111111111111 ) +#8820000 +06/ +0e/ +0e. +0*/ +b11000z0 #/ +b11000z0 0/ +0t- +0"- +b11111111111111000000000000000010 # +b11111111111111000000000000000010 / +#8830000 +b1111111111111111zz + +1d/ +#8840000 +0;/ +0h/ +0f. +0p- +#8850000 +1:0 +1\/ +b1111111111111111111111 ) +#8860000 +0s/ +0D0 +0D/ +0g/ +b11000z0 `/ +b11000z0 m/ +0S. +0_- +b11111111111110000000000000000010 # +b11111111111110000000000000000010 / +#8870000 +b11111111111111111zz + +1C0 +#8880000 +0x/ +0G0 +0E/ +0O. +#8890000 +1w0 +1;0 +b11111111111111111111111 ) +#8900000 +0R0 +0#1 +0#0 +0F0 +b11000z0 ?0 +b11000z0 L0 +02/ +0>. +b11111111111100000000000000000010 # +b11111111111100000000000000000010 / +#8910000 +b111111111111111111zz + +1"1 +#8920000 +0W0 +0&1 +0$0 +0./ +#8930000 +1V1 +1x0 +b111111111111111111111111 ) +#8940000 +011 +0`1 +0`0 +0%1 +b11000z0 |0 +b11000z0 +1 +0o/ +0{. +b11111111111000000000000000000010 # +b11111111111000000000000000000010 / +#8950000 +b1111111111111111111zz + +1_1 +#8960000 +061 +0c1 +0a0 +0k/ +#8970000 +152 +1W1 +b1111111111111111111111111 ) +#8980000 +0n1 +0?2 +0?1 +0b1 +b11000z0 [1 +b11000z0 h1 +0N0 +0Z/ +b11111111110000000000000000000010 # +b11111111110000000000000000000010 / +#8990000 +b11111111111111111111zz + +1>2 +#9000000 +0s1 +0B2 +0@1 +0J0 +#9010000 +1r2 +162 +b11111111111111111111111111 ) +#9020000 +0M2 +0|2 +0|1 +0A2 +b11000z0 :2 +b11000z0 G2 +0-1 +090 +b11111111100000000000000000000010 # +b11111111100000000000000000000010 / +#9030000 +b111111111111111111111zz + +1{2 +#9040000 +0R2 +0!3 +0}1 +0)1 +#9050000 +1Q3 +1s2 +b111111111111111111111111111 ) +#9060000 +0,3 +0[3 +0[2 +0~2 +b11000z0 w2 +b11000z0 &3 +0j1 +0v0 +b11111111000000000000000000000010 # +b11111111000000000000000000000010 / +#9070000 +b1111111111111111111111zz + +1Z3 +#9080000 +013 +0^3 +0\2 +0f1 +#9090000 +104 +1R3 +b1111111111111111111111111111 ) +#9100000 +0i3 +0:4 +0:3 +0]3 +b11000z0 V3 +b11000z0 c3 +0I2 +0U1 +b11111110000000000000000000000010 # +b11111110000000000000000000000010 / +#9110000 +b11111111111111111111111zz + +194 +#9120000 +0n3 +0=4 +0;3 +0E2 +#9130000 +1m4 +114 +b11111111111111111111111111111 ) +#9140000 +0H4 +0w4 +0w3 +0<4 +b11000z0 54 +b11000z0 B4 +0(3 +042 +b11111100000000000000000000000010 # +b11111100000000000000000000000010 / +#9150000 +b111111111111111111111111zz + +1v4 +#9160000 +0M4 +0z4 +0x3 +0$3 +#9170000 +1L5 +1n4 +b111111111111111111111111111111 ) +#9180000 +0'5 +0V5 +0V4 +0y4 +b11000z0 r4 +b11000z0 !5 +0e3 +0q2 +b11111000000000000000000000000010 # +b11111000000000000000000000000010 / +#9190000 +b1111111111111111111111111zz + +1U5 +#9200000 +0,5 +0Y5 +0W4 +0a3 +#9210000 +1t +1M5 +b1111111111111111111111111111111 ) +#9220000 +0d5 +0"" +0V" +055 +0X5 +b11000z0 Q5 +b11000z0 ^5 +0D4 +0P3 +b11110000000000000000000000000010 # +b11110000000000000000000000000010 / +#9230000 +b11111111111111111111111111zz + +1!" +1U" +#9240000 +0i5 +0%" +0X" +065 +0@4 +1" +#9250000 +1! +1p +#9260000 +00" +0r5 +0$" +b11000z0 { +b11000z0 *" +0x +0#5 +0/4 +b11100000000000000000000000000010 # +b11100000000000000000000000000010 / +#9270000 +b111111111111111111111111111zz + +#9280000 +05" +0s5 +0}4 +0" +#9300000 +0>" +0`5 +0l4 +b11000000000000000000000000000010 # +b11000000000000000000000000000010 / +#9310000 +0Q +b1111111111111111111111111111zz + +b100100z0 ; +b100100z0 H +00 +#9320000 +0?" +0\5 +#9340000 +0," +0K5 +b10000000000000000000000000000010 # +b10000000000000000000000000000010 / +#9350000 +b11111111111111111111111111111zz + +#9360000 +0(" +#9380000 +0s +b10 # +b10 / +#9390000 +b111111111111111111111111111111zz + +#10000000 +0Z" +05 +1q +b10000000000000000000000000000000 % +b10000000000000000000000000000000 - +#10010000 +1+# +1a +0D" +b111001z1 b" +b111001z1 o" +b101100z0 ; +b101100z0 H +b1000z0 { +b1000z0 *" +#10020000 +0,# +0` +1E" +b11001z1 b" +b11001z1 o" +b101000z0 ; +b101000z0 H +b101000z0 { +b101000z0 *" +0c" +0= +1| +1R" +#10030000 +0w" +1P +12" +b11000z1 b" +b11000z1 o" +1e" +b101001z0 ; +b101001z0 H +0> +b101001z0 { +b101001z0 *" +0~ +0T" +#10040000 +0h" +1A +1#" +1W" +0e +0? +#10060000 +0]" +0k" +1D +1&" +1Y" +0n +08 +b1111111111111111111111111111110 ) +#10070000 +1g" +#10080000 +0u" +1N +10" +0f" +0i" +b11000z0 b" +b11000z0 o" +1B +b101001z1 ; +b101001z1 H +1$" +b101001z1 { +b101001z1 *" +1x +0o +#10090000 +1j" +#10100000 +0<# +0z" +1S +15" +0^" +b1111111111111111111111111111100 ) +0K +#10110000 +1u" +1Q +1F# +1i" +b11000z1 b" +b11000z1 o" +b101011z1 ; +b101011z1 H +10 +#10120000 +0E# +0%# +1\ +1>" +#10130000 +1z" +1I# +#10140000 +0y# +0=# +b1111111111111111111111111111000 ) +0&# +1] +1?" +#10150000 +1T# +1%$ +1%# +1H# +b11000z1 A# +b11000z1 N# +#10160000 +0$$ +0q" +1J +1," +#10170000 +1Y# +1($ +1&# +#10180000 +0X$ +0z# +b1111111111111111111111111110000 ) +0m" +1F +1(" +#10190000 +13$ +1b$ +1b# +1'$ +b11000z1 ~# +b11000z1 -$ +1q" +#10200000 +0a$ +0\" +17 +1s +b10000000000000000000000000000001 # +b10000000000000000000000000000001 / +#10210000 +b11111111111111111111111111111zz + +18$ +1e$ +1c# +1m" +#10220000 +07% +0Y$ +b1111111111111111111111111100000 ) +#10230000 +1p$ +1A% +1A$ +1d$ +b11000z1 ]$ +b11000z1 j$ +1P# +1\" +b10000000000000000000000000000011 # +b10000000000000000000000000000011 / +#10240000 +0@% +#10250000 +1u$ +1D% +1B$ +1L# +#10260000 +0t% +08% +b1111111111111111111111111000000 ) +#10270000 +1O% +1~% +1~$ +1C% +b11000z1 <% +b11000z1 I% +1/$ +1;# +b10000000000000000000000000000111 # +b10000000000000000000000000000111 / +#10280000 +b11111111111111111111111111110zz + +0}% +#10290000 +1T% +1#& +1!% +1+$ +#10300000 +0S& +0u% +b1111111111111111111111110000000 ) +#10310000 +1.& +1]& +1]% +1"& +b11000z1 y% +b11000z1 (& +1l$ +1x# +b10000000000000000000000000001111 # +b10000000000000000000000000001111 / +#10320000 +b11111111111111111111111111100zz + +0\& +#10330000 +13& +1`& +1^% +1h$ +#10340000 +02' +0T& +b1111111111111111111111100000000 ) +#10350000 +1k& +1<' +1<& +1_& +b11000z1 X& +b11000z1 e& +1K% +1W$ +b10000000000000000000000000011111 # +b10000000000000000000000000011111 / +#10360000 +b11111111111111111111111111000zz + +0;' +#10370000 +1p& +1?' +1=& +1G% +#10380000 +0o' +03' +b1111111111111111111111000000000 ) +#10390000 +1J' +1y' +1y& +1>' +b11000z1 7' +b11000z1 D' +1*& +16% +b10000000000000000000000000111111 # +b10000000000000000000000000111111 / +#10400000 +b11111111111111111111111110000zz + +0x' +#10410000 +1O' +1|' +1z& +1&& +#10420000 +0N( +0p' +b1111111111111111111110000000000 ) +#10430000 +1)( +1X( +1X' +1{' +b11000z1 t' +b11000z1 #( +1g& +1s% +b10000000000000000000000001111111 # +b10000000000000000000000001111111 / +#10440000 +b11111111111111111111111100000zz + +0W( +#10450000 +1.( +1[( +1Y' +1c& +#10460000 +0-) +0O( +b1111111111111111111100000000000 ) +#10470000 +1f( +17) +17( +1Z( +b11000z1 S( +b11000z1 `( +1F' +1R& +b10000000000000000000000011111111 # +b10000000000000000000000011111111 / +#10480000 +b11111111111111111111111000000zz + +06) +#10490000 +1k( +1:) +18( +1B' +#10500000 +0j) +0.) +b1111111111111111111000000000000 ) +#10510000 +1E) +1t) +1t( +19) +b11000z1 2) +b11000z1 ?) +1%( +11' +b10000000000000000000000111111111 # +b10000000000000000000000111111111 / +#10520000 +b11111111111111111111110000000zz + +0s) +#10530000 +1J) +1w) +1u( +1!( +#10540000 +0I* +0k) +b1111111111111111110000000000000 ) +#10550000 +1$* +1S* +1S) +1v) +b11000z1 o) +b11000z1 |) +1b( +1n' +b10000000000000000000001111111111 # +b10000000000000000000001111111111 / +#10560000 +b11111111111111111111100000000zz + +0R* +#10570000 +1)* +1V* +1T) +1^( +#10580000 +0(+ +0J* +b1111111111111111100000000000000 ) +#10590000 +1a* +12+ +12* +1U* +b11000z1 N* +b11000z1 [* +1A) +1M( +b10000000000000000000011111111111 # +b10000000000000000000011111111111 / +#10600000 +b11111111111111111111000000000zz + +01+ +#10610000 +1f* +15+ +13* +1=) +#10620000 +0e+ +0)+ +b1111111111111111000000000000000 ) +#10630000 +1@+ +1o+ +1o* +14+ +b11000z1 -+ +b11000z1 :+ +1~) +1,) +b10000000000000000000111111111111 # +b10000000000000000000111111111111 / +#10640000 +b11111111111111111110000000000zz + +0n+ +#10650000 +1E+ +1r+ +1p* +1z) +#10660000 +0D, +0f+ +b1111111111111110000000000000000 ) +#10670000 +1}+ +1N, +1N+ +1q+ +b11000z1 j+ +b11000z1 w+ +1]* +1i) +b10000000000000000001111111111111 # +b10000000000000000001111111111111 / +#10680000 +b11111111111111111100000000000zz + +0M, +#10690000 +1$, +1Q, +1O+ +1Y* +#10700000 +0#- +0E, +b1111111111111100000000000000000 ) +#10710000 +1\, +1-- +1-, +1P, +b11000z1 I, +b11000z1 V, +1<+ +1H* +b10000000000000000011111111111111 # +b10000000000000000011111111111111 / +#10720000 +b11111111111111111000000000000zz + +0,- +#10730000 +1a, +10- +1., +18+ +#10740000 +0`- +0$- +b1111111111111000000000000000000 ) +#10750000 +1;- +1j- +1j, +1/- +b11000z1 (- +b11000z1 5- +1y+ +1'+ +b10000000000000000111111111111111 # +b10000000000000000111111111111111 / +#10760000 +b11111111111111110000000000000zz + +0i- +#10770000 +1@- +1m- +1k, +1u+ +#10780000 +0?. +0a- +b1111111111110000000000000000000 ) +#10790000 +1x- +1I. +1I- +1l- +b11000z1 e- +b11000z1 r- +1X, +1d+ +b10000000000000001111111111111111 # +b10000000000000001111111111111111 / +#10800000 +b11111111111111100000000000000zz + +0H. +#10810000 +1}- +1L. +1J- +1T, +#10820000 +0|. +0@. +b1111111111100000000000000000000 ) +#10830000 +1W. +1(/ +1(. +1K. +b11000z1 D. +b11000z1 Q. +17- +1C, +b10000000000000011111111111111111 # +b10000000000000011111111111111111 / +#10840000 +b11111111111111000000000000000zz + +0'/ +#10850000 +1\. +1+/ +1). +13- +#10860000 +0[/ +0}. +b1111111111000000000000000000000 ) +#10870000 +16/ +1e/ +1e. +1*/ +b11000z1 #/ +b11000z1 0/ +1t- +1"- +b10000000000000111111111111111111 # +b10000000000000111111111111111111 / +#10880000 +b11111111111110000000000000000zz + +0d/ +#10890000 +1;/ +1h/ +1f. +1p- +#10900000 +0:0 +0\/ +b1111111110000000000000000000000 ) +#10910000 +1s/ +1D0 +1D/ +1g/ +b11000z1 `/ +b11000z1 m/ +1S. +1_- +b10000000000001111111111111111111 # +b10000000000001111111111111111111 / +#10920000 +b11111111111100000000000000000zz + +0C0 +#10930000 +1x/ +1G0 +1E/ +1O. +#10940000 +0w0 +0;0 +b1111111100000000000000000000000 ) +#10950000 +1R0 +1#1 +1#0 +1F0 +b11000z1 ?0 +b11000z1 L0 +12/ +1>. +b10000000000011111111111111111111 # +b10000000000011111111111111111111 / +#10960000 +b11111111111000000000000000000zz + +0"1 +#10970000 +1W0 +1&1 +1$0 +1./ +#10980000 +0V1 +0x0 +b1111111000000000000000000000000 ) +#10990000 +111 +1`1 +1`0 +1%1 +b11000z1 |0 +b11000z1 +1 +1o/ +1{. +b10000000000111111111111111111111 # +b10000000000111111111111111111111 / +#11000000 +b11111111110000000000000000000zz + +0_1 +#11010000 +161 +1c1 +1a0 +1k/ +#11020000 +052 +0W1 +b1111110000000000000000000000000 ) +#11030000 +1n1 +1?2 +1?1 +1b1 +b11000z1 [1 +b11000z1 h1 +1N0 +1Z/ +b10000000001111111111111111111111 # +b10000000001111111111111111111111 / +#11040000 +b11111111100000000000000000000zz + +0>2 +#11050000 +1s1 +1B2 +1@1 +1J0 +#11060000 +0r2 +062 +b1111100000000000000000000000000 ) +#11070000 +1M2 +1|2 +1|1 +1A2 +b11000z1 :2 +b11000z1 G2 +1-1 +190 +b10000000011111111111111111111111 # +b10000000011111111111111111111111 / +#11080000 +b11111111000000000000000000000zz + +0{2 +#11090000 +1R2 +1!3 +1}1 +1)1 +#11100000 +0Q3 +0s2 +b1111000000000000000000000000000 ) +#11110000 +1,3 +1[3 +1[2 +1~2 +b11000z1 w2 +b11000z1 &3 +1j1 +1v0 +b10000000111111111111111111111111 # +b10000000111111111111111111111111 / +#11120000 +b11111110000000000000000000000zz + +0Z3 +#11130000 +113 +1^3 +1\2 +1f1 +#11140000 +004 +0R3 +b1110000000000000000000000000000 ) +#11150000 +1i3 +1:4 +1:3 +1]3 +b11000z1 V3 +b11000z1 c3 +1I2 +1U1 +b10000001111111111111111111111111 # +b10000001111111111111111111111111 / +#11160000 +b11111100000000000000000000000zz + +094 +#11170000 +1n3 +1=4 +1;3 +1E2 +#11180000 +0m4 +014 +b1100000000000000000000000000000 ) +#11190000 +1H4 +1w4 +1w3 +1<4 +b11000z1 54 +b11000z1 B4 +1(3 +142 +b10000011111111111111111111111111 # +b10000011111111111111111111111111 / +#11200000 +b11111000000000000000000000000zz + +0v4 +#11210000 +1M4 +1z4 +1x3 +1$3 +#11220000 +0L5 +0n4 +b1000000000000000000000000000000 ) +#11230000 +1'5 +1V5 +1V4 +1y4 +b11000z1 r4 +b11000z1 !5 +1e3 +1q2 +b10000111111111111111111111111111 # +b10000111111111111111111111111111 / +#11240000 +b11110000000000000000000000000zz + +0U5 +#11250000 +1,5 +1Y5 +1W4 +1a3 +#11260000 +0t +0M5 +b0 ) +#11270000 +1d5 +1"" +1V" +155 +1X5 +b11000z1 Q5 +b11000z1 ^5 +1D4 +1P3 +b10001111111111111111111111111111 # +b10001111111111111111111111111111 / +#11280000 +b11100000000000000000000000000zz + +0&" +0!" +0Y" +0U" +#11290000 +1i5 +165 +1@4 +1" +#11300000 +00" +0$" +b101001z0 { +b101001z0 *" +0x +#11310000 +1r5 +1#5 +1/4 +b10011111111111111111111111111111 # +b10011111111111111111111111111111 / +#11320000 +b11000000000000000000000000000zz + +05" +#11330000 +1s5 +1}4 +#11340000 +0>" +#11350000 +1`5 +1l4 +b10111111111111111111111111111111 # +b10111111111111111111111111111111 / +#11360000 +b10000000000000000000000000000zz + +0?" +#11370000 +1\5 +#11380000 +0," +#11390000 +1K5 +b11111111111111111111111111111111 # +b11111111111111111111111111111111 / +#11400000 +b0zz + +0(" +#11420000 +0s +b1111111111111111111111111111111 # +b1111111111111111111111111111111 / +#11430000 +b100000000000000000000000000000zz + +#12000000 +06 +0q +b0 & +b0 . +b0 % +b0 - +#12010000 +1b +1D" +b111011z1 ; +b111011z1 H +b111001z0 { +b111001z0 *" +#12020000 +0c +0E" +b11011z1 ; +b11011z1 H +b11001z0 { +b11001z0 *" +0| +0R" +#12030000 +0P +02" +19 +b11010z1 ; +b11010z1 H +b11000z0 { +b11000z0 *" +1~ +1T" +#12040000 +0#" +0W" +0! +0p +#12050000 +1= +1%" +1X" +#12060000 +1> +#12070000 +10" +0A +1? +1$" +b11000z1 { +b11000z1 *" +1x +0" +#12090000 +1]" +15" +0D +18 +b1 ) +#12100000 +0g" +#12110000 +0N +1f" +1>" +0B +b11010z0 ; +b11010z0 H +#12120000 +0j" +#12130000 +1<# +0S +1^" +b11 ) +1?" +#12140000 +0u" +0F# +0i" +b11000z0 b" +b11000z0 o" +#12150000 +1E# +0\ +1," +#12160000 +0z" +0I# +#12170000 +1y# +1=# +b111 ) +0] +1(" +#12180000 +0T# +0%$ +0%# +0H# +b11000z0 A# +b11000z0 N# +#12190000 +1$$ +0J +1s +b11111111111111111111111111111111 # +b11111111111111111111111111111111 / +#12200000 +b0zz + +0Y# +0($ +0&# +#12210000 +1X$ +1z# +b1111 ) +0F +#12220000 +03$ +0b$ +0b# +0'$ +b11000z0 ~# +b11000z0 -$ +0q" +#12230000 +1a$ +07 +b11111111111111111111111111111110 # +b11111111111111111111111111111110 / +#12240000 +08$ +0e$ +0c# +0m" +#12250000 +17% +1Y$ +b11111 ) +#12260000 +0p$ +0A% +0A$ +0d$ +b11000z0 ]$ +b11000z0 j$ +0P# +0\" +b11111111111111111111111111111100 # +b11111111111111111111111111111100 / +#12270000 +b1 1 +1@% +#12280000 +0u$ +0D% +0B$ +0L# +#12290000 +1t% +18% +b111111 ) +#12300000 +0O% +0~% +0~$ +0C% +b11000z0 <% +b11000z0 I% +0/$ +0;# +b11111111111111111111111111111000 # +b11111111111111111111111111111000 / +#12310000 +b1zz + +1}% +#12320000 +0T% +0#& +0!% +0+$ +#12330000 +1S& +b11 1 +1u% +b1111111 ) +#12340000 +0.& +0]& +0]% +0"& +b11000z0 y% +b11000z0 (& +0l$ +0x# +b11111111111111111111111111110000 # +b11111111111111111111111111110000 / +#12350000 +b11zz + +1\& +#12360000 +03& +0`& +0^% +0h$ +#12370000 +12' +b111 1 +1T& +b11111111 ) +#12380000 +0k& +0<' +0<& +0_& +b11000z0 X& +b11000z0 e& +0K% +0W$ +b11111111111111111111111111100000 # +b11111111111111111111111111100000 / +#12390000 +b111zz + +1;' +#12400000 +0p& +0?' +0=& +0G% +#12410000 +1o' +b1111 1 +13' +b111111111 ) +#12420000 +0J' +0y' +0y& +0>' +b11000z0 7' +b11000z0 D' +0*& +06% +b11111111111111111111111111000000 # +b11111111111111111111111111000000 / +#12430000 +b1111zz + +1x' +#12440000 +0O' +0|' +0z& +0&& +#12450000 +1N( +b11111 1 +1p' +b1111111111 ) +#12460000 +0)( +0X( +0X' +0{' +b11000z0 t' +b11000z0 #( +0g& +0s% +b11111111111111111111111110000000 # +b11111111111111111111111110000000 / +#12470000 +b11111zz + +1W( +#12480000 +0.( +0[( +0Y' +0c& +#12490000 +1-) +b111111 1 +1O( +b11111111111 ) +#12500000 +0f( +07) +07( +0Z( +b11000z0 S( +b11000z0 `( +0F' +0R& +b11111111111111111111111100000000 # +b11111111111111111111111100000000 / +#12510000 +b111111zz + +16) +#12520000 +0k( +0:) +08( +0B' +#12530000 +1j) +b1111111 1 +1.) +b111111111111 ) +#12540000 +0E) +0t) +0t( +09) +b11000z0 2) +b11000z0 ?) +0%( +01' +b11111111111111111111111000000000 # +b11111111111111111111111000000000 / +#12550000 +b1111111zz + +1s) +#12560000 +0J) +0w) +0u( +0!( +#12570000 +1I* +b11111111 1 +1k) +b1111111111111 ) +#12580000 +0$* +0S* +0S) +0v) +b11000z0 o) +b11000z0 |) +0b( +0n' +b11111111111111111111110000000000 # +b11111111111111111111110000000000 / +#12590000 +b11111111zz + +1R* +#12600000 +0)* +0V* +0T) +0^( +#12610000 +1(+ +b111111111 1 +1J* +b11111111111111 ) +#12620000 +0a* +02+ +02* +0U* +b11000z0 N* +b11000z0 [* +0A) +0M( +b11111111111111111111100000000000 # +b11111111111111111111100000000000 / +#12630000 +b111111111zz + +11+ +#12640000 +0f* +05+ +03* +0=) +#12650000 +1e+ +b1111111111 1 +1)+ +b111111111111111 ) +#12660000 +0@+ +0o+ +0o* +04+ +b11000z0 -+ +b11000z0 :+ +0~) +0,) +b11111111111111111111000000000000 # +b11111111111111111111000000000000 / +#12670000 +b1111111111zz + +1n+ +#12680000 +0E+ +0r+ +0p* +0z) +#12690000 +1D, +b11111111111 1 +1f+ +b1111111111111111 ) +#12700000 +0}+ +0N, +0N+ +0q+ +b11000z0 j+ +b11000z0 w+ +0]* +0i) +b11111111111111111110000000000000 # +b11111111111111111110000000000000 / +#12710000 +b11111111111zz + +1M, +#12720000 +0$, +0Q, +0O+ +0Y* +#12730000 +1#- +b111111111111 1 +1E, +b11111111111111111 ) +#12740000 +0\, +0-- +0-, +0P, +b11000z0 I, +b11000z0 V, +0<+ +0H* +b11111111111111111100000000000000 # +b11111111111111111100000000000000 / +#12750000 +b111111111111zz + +1,- +#12760000 +0a, +00- +0., +08+ +#12770000 +1`- +b1111111111111 1 +1$- +b111111111111111111 ) +#12780000 +0;- +0j- +0j, +0/- +b11000z0 (- +b11000z0 5- +0y+ +0'+ +b11111111111111111000000000000000 # +b11111111111111111000000000000000 / +#12790000 +b1111111111111zz + +1i- +#12800000 +0@- +0m- +0k, +0u+ +#12810000 +1?. +b11111111111111 1 +1a- +b1111111111111111111 ) +#12820000 +0x- +0I. +0I- +0l- +b11000z0 e- +b11000z0 r- +0X, +0d+ +b11111111111111110000000000000000 # +b11111111111111110000000000000000 / +#12830000 +b11111111111111zz + +1H. +#12840000 +0}- +0L. +0J- +0T, +#12850000 +1|. +b111111111111111 1 +1@. +b11111111111111111111 ) +#12860000 +0W. +0(/ +0(. +0K. +b11000z0 D. +b11000z0 Q. +07- +0C, +b11111111111111100000000000000000 # +b11111111111111100000000000000000 / +#12870000 +b111111111111111zz + +1'/ +#12880000 +0\. +0+/ +0). +03- +#12890000 +1[/ +b1111111111111111 1 +1}. +b111111111111111111111 ) +#12900000 +06/ +0e/ +0e. +0*/ +b11000z0 #/ +b11000z0 0/ +0t- +0"- +b11111111111111000000000000000000 # +b11111111111111000000000000000000 / +#12910000 +b1111111111111111zz + +1d/ +#12920000 +0;/ +0h/ +0f. +0p- +#12930000 +1:0 +b11111111111111111 1 +1\/ +b1111111111111111111111 ) +#12940000 +0s/ +0D0 +0D/ +0g/ +b11000z0 `/ +b11000z0 m/ +0S. +0_- +b11111111111110000000000000000000 # +b11111111111110000000000000000000 / +#12950000 +b11111111111111111zz + +1C0 +#12960000 +0x/ +0G0 +0E/ +0O. +#12970000 +1w0 +b111111111111111111 1 +1;0 +b11111111111111111111111 ) +#12980000 +0R0 +0#1 +0#0 +0F0 +b11000z0 ?0 +b11000z0 L0 +02/ +0>. +b11111111111100000000000000000000 # +b11111111111100000000000000000000 / +#12990000 +b111111111111111111zz + +1"1 +#13000000 +0W0 +0&1 +0$0 +0./ +#13010000 +1V1 +b1111111111111111111 1 +1x0 +b111111111111111111111111 ) +#13020000 +011 +0`1 +0`0 +0%1 +b11000z0 |0 +b11000z0 +1 +0o/ +0{. +b11111111111000000000000000000000 # +b11111111111000000000000000000000 / +#13030000 +b1111111111111111111zz + +1_1 +#13040000 +061 +0c1 +0a0 +0k/ +#13050000 +152 +b11111111111111111111 1 +1W1 +b1111111111111111111111111 ) +#13060000 +0n1 +0?2 +0?1 +0b1 +b11000z0 [1 +b11000z0 h1 +0N0 +0Z/ +b11111111110000000000000000000000 # +b11111111110000000000000000000000 / +#13070000 +b11111111111111111111zz + +1>2 +#13080000 +0s1 +0B2 +0@1 +0J0 +#13090000 +1r2 +b111111111111111111111 1 +162 +b11111111111111111111111111 ) +#13100000 +0M2 +0|2 +0|1 +0A2 +b11000z0 :2 +b11000z0 G2 +0-1 +090 +b11111111100000000000000000000000 # +b11111111100000000000000000000000 / +#13110000 +b111111111111111111111zz + +1{2 +#13120000 +0R2 +0!3 +0}1 +0)1 +#13130000 +1Q3 +b1111111111111111111111 1 +1s2 +b111111111111111111111111111 ) +#13140000 +0,3 +0[3 +0[2 +0~2 +b11000z0 w2 +b11000z0 &3 +0j1 +0v0 +b11111111000000000000000000000000 # +b11111111000000000000000000000000 / +#13150000 +b1111111111111111111111zz + +1Z3 +#13160000 +013 +0^3 +0\2 +0f1 +#13170000 +104 +b11111111111111111111111 1 +1R3 +b1111111111111111111111111111 ) +#13180000 +0i3 +0:4 +0:3 +0]3 +b11000z0 V3 +b11000z0 c3 +0I2 +0U1 +b11111110000000000000000000000000 # +b11111110000000000000000000000000 / +#13190000 +b11111111111111111111111zz + +194 +#13200000 +0n3 +0=4 +0;3 +0E2 +#13210000 +1m4 +b111111111111111111111111 1 +114 +b11111111111111111111111111111 ) +#13220000 +0H4 +0w4 +0w3 +0<4 +b11000z0 54 +b11000z0 B4 +0(3 +042 +b11111100000000000000000000000000 # +b11111100000000000000000000000000 / +#13230000 +b111111111111111111111111zz + +1v4 +#13240000 +0M4 +0z4 +0x3 +0$3 +#13250000 +1L5 +b1111111111111111111111111 1 +1n4 +b111111111111111111111111111111 ) +#13260000 +0'5 +0V5 +0V4 +0y4 +b11000z0 r4 +b11000z0 !5 +0e3 +0q2 +b11111000000000000000000000000000 # +b11111000000000000000000000000000 / +#13270000 +b1111111111111111111111111zz + +1U5 +#13280000 +0,5 +0Y5 +0W4 +0a3 +#13290000 +1t +b11111111111111111111111111 1 +1M5 +b1111111111111111111111111111111 ) +#13300000 +0d5 +0"" +0V" +055 +0X5 +b11000z0 Q5 +b11000z0 ^5 +0D4 +0P3 +b11110000000000000000000000000000 # +b11110000000000000000000000000000 / +#13310000 +b11111111111111111111111111zz + +1!" +1U" +#13320000 +0i5 +0%" +0X" +065 +0@4 +1" +#13330000 +b111111111111111111111111111 1 +1! +1p +#13340000 +00" +0r5 +0$" +b11000z0 { +b11000z0 *" +0x +0#5 +0/4 +b11100000000000000000000000000000 # +b11100000000000000000000000000000 / +#13350000 +b111111111111111111111111111zz + +#13360000 +05" +0s5 +0}4 +0" +#13370000 +b1111111111111111111111111111 1 +#13380000 +0>" +0`5 +0l4 +b11000000000000000000000000000000 # +b11000000000000000000000000000000 / +#13390000 +0Q +b1111111111111111111111111111zz + +b11000z0 ; +b11000z0 H +00 +#13400000 +0?" +0\5 +#13410000 +b11111111111111111111111111111 1 +#13420000 +0," +0K5 +b10000000000000000000000000000000 # +b10000000000000000000000000000000 / +#13430000 +b11111111111111111111111111111zz + +#13440000 +0(" +#13450000 +b111111111111111111111111111111 1 +#13460000 +0s +b0 # +b0 / +#13470000 +b111111111111111111111111111111zz + +#13490000 +1$ +b1111111111111111111111111111111 1 +#14000000 +1[" +1:# +1w# +1V$ +15% +1r% +1Q& +10' +1m' +1L( +1+) +1h) +1G* +1&+ +1c+ +1B, +1!- +1^- +1=. +1z. +1Y/ +180 +1u0 +1T1 +132 +1p2 +1O3 +1.4 +1k4 +1J5 +16 +1r +1Z" +19# +1v# +1U$ +14% +1q% +1P& +1/' +1l' +1K( +1*) +1g) +1F* +1%+ +1b+ +1A, +1~, +1]- +1<. +1y. +1X/ +170 +1t0 +1S1 +122 +1o2 +1N3 +1-4 +1j4 +1I5 +15 +1q +b11111111111111111111111111111111 & +b11111111111111111111111111111111 . +b11111111111111111111111111111111 % +b11111111111111111111111111111111 - +#14010000 +0*# +0+# +0g# +0h# +0F$ +0G$ +0%% +0&% +0b% +0c% +0A& +0B& +0~& +0!' +0]' +0^' +0<( +0=( +0y( +0z( +0X) +0Y) +07* +08* +0t* +0u* +0S+ +0T+ +02, +03, +0o, +0p, +0N- +0O- +0-. +0.. +0j. +0k. +0I/ +0J/ +0(0 +0)0 +0e0 +0f0 +0D1 +0E1 +0#2 +0$2 +0`2 +0a2 +0?3 +0@3 +0|3 +0}3 +0[4 +0\4 +0:5 +0;5 +0w5 +0x5 +0a +0b +0C" +0D" +0w +b0z0 b" +b0z0 o" +b0z0 A# +b0z0 N# +b0z0 ~# +b0z0 -$ +b0z0 ]$ +b0z0 j$ +b0z0 <% +b0z0 I% +b0z0 y% +b0z0 (& +b0z0 X& +b0z0 e& +b0z0 7' +b0z0 D' +b0z0 t' +b0z0 #( +b0z0 S( +b0z0 `( +b0z0 2) +b0z0 ?) +b0z0 o) +b0z0 |) +b0z0 N* +b0z0 [* +b0z0 -+ +b0z0 :+ +b0z0 j+ +b0z0 w+ +b0z0 I, +b0z0 V, +b0z0 (- +b0z0 5- +b0z0 e- +b0z0 r- +b0z0 D. +b0z0 Q. +b0z0 #/ +b0z0 0/ +b0z0 `/ +b0z0 m/ +b0z0 ?0 +b0z0 L0 +b0z0 |0 +b0z0 +1 +b0z0 [1 +b0z0 h1 +b0z0 :2 +b0z0 G2 +b0z0 w2 +b0z0 &3 +b0z0 V3 +b0z0 c3 +b0z0 54 +b0z0 B4 +b0z0 r4 +b0z0 !5 +b0z0 Q5 +b0z0 ^5 +b0z0 ; +b0z0 H +b0z0 { +b0z0 *" +#14020000 +1)# +1,# +1f# +1i# +1E$ +1H$ +1$% +1'% +1a% +1d% +1@& +1C& +1}& +1"' +1\' +1_' +1;( +1>( +1x( +1{( +1W) +1Z) +16* +19* +1s* +1v* +1R+ +1U+ +11, +14, +1n, +1q, +1M- +1P- +1,. +1/. +1i. +1l. +1H/ +1K/ +1'0 +1*0 +1d0 +1g0 +1C1 +1F1 +1"2 +1%2 +1_2 +1b2 +1>3 +1A3 +1{3 +1~3 +1Z4 +1]4 +195 +1<5 +1v5 +1y5 +1` +1c +1B" +1E" +b100100z0 b" +b100100z0 o" +b100100z0 A# +b100100z0 N# +b100100z0 ~# +b100100z0 -$ +b100100z0 ]$ +b100100z0 j$ +b100100z0 <% +b100100z0 I% +b100100z0 y% +b100100z0 (& +b100100z0 X& +b100100z0 e& +b100100z0 7' +b100100z0 D' +b100100z0 t' +b100100z0 #( +b100100z0 S( +b100100z0 `( +b100100z0 2) +b100100z0 ?) +b100100z0 o) +b100100z0 |) +b100100z0 N* +b100100z0 [* +b100100z0 -+ +b100100z0 :+ +b100100z0 j+ +b100100z0 w+ +b100100z0 I, +b100100z0 V, +b100100z0 (- +b100100z0 5- +b100100z0 e- +b100100z0 r- +b100100z0 D. +b100100z0 Q. +b100100z0 #/ +b100100z0 0/ +b100100z0 `/ +b100100z0 m/ +b100100z0 ?0 +b100100z0 L0 +b100100z0 |0 +b100100z0 +1 +b100100z0 [1 +b100100z0 h1 +b100100z0 :2 +b100100z0 G2 +b100100z0 w2 +b100100z0 &3 +b100100z0 V3 +b100100z0 c3 +b100100z0 54 +b100100z0 B4 +b100100z0 r4 +b100100z0 !5 +b100100z0 Q5 +b100100z0 ^5 +b100100z0 ; +b100100z0 H +b100100z0 { +b100100z0 *" +1c" +1B# +1!$ +1^$ +1=% +1z% +1Y& +18' +1u' +1T( +13) +1p) +1O* +1.+ +1k+ +1J, +1)- +1f- +1E. +1$/ +1a/ +1@0 +1}0 +1\1 +1;2 +1x2 +1W3 +164 +1s4 +1R5 +1< +1| +#14030000 +0_" +0># +0{# +0Z$ +09% +0v% +0U& +04' +0q' +0P( +0/) +0l) +0K* +0*+ +0g+ +0F, +0%- +0b- +0A. +0~. +0]/ +0<0 +0y0 +0X1 +072 +0t2 +0S3 +024 +0o4 +0N5 +09 +0y +0e" +0D# +0#$ +0`$ +0?% +0|% +0[& +0:' +0w' +0V( +05) +0r) +0Q* +00+ +0m+ +0L, +0+- +0h- +0G. +0&/ +0c/ +0B0 +0!1 +0^1 +0=2 +0z2 +0Y3 +084 +0u4 +0T5 +0> +0~ +#14040000 +1h" +1G# +1&$ +1c$ +1B% +1!& +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +1A +1#" +1.# +1k# +1J$ +1)% +1f% +1E& +1$' +1a' +1@( +1}( +1\) +1;* +1x* +1W+ +16, +1s, +1R- +11. +1n. +1M/ +1,0 +1i0 +1H1 +1'2 +1d2 +1C3 +1"4 +1_4 +1>5 +1{5 +1e +1G" +#14050000 +0c" +0B# +0!$ +0^$ +0=% +0z% +0Y& +08' +0u' +0T( +03) +0p) +0O* +0.+ +0k+ +0J, +0)- +0f- +0E. +0$/ +0a/ +0@0 +0}0 +0\1 +0;2 +0x2 +0W3 +064 +0s4 +0R5 +0< +0| +#14060000 +1k" +1J# +1)$ +1f$ +1E% +1$& +1a& +1@' +1}' +1\( +1;) +1x) +1W* +16+ +1s+ +1R, +11- +1n- +1M. +1,/ +1i/ +1H0 +1'1 +1d1 +1C2 +1"3 +1_3 +1>4 +1{4 +1Z5 +1D +1&" +17# +1t# +1S$ +12% +1o% +1N& +1-' +1j' +1I( +1() +1e) +1D* +1#+ +1`+ +1?, +1|, +1[- +1:. +1w. +1V/ +150 +1r0 +1Q1 +102 +1m2 +1L3 +1+4 +1h4 +1G5 +1&6 +1n +1P" +1e" +1D# +1#$ +1`$ +1?% +1|% +1[& +1:' +1w' +1V( +15) +1r) +1Q* +10+ +1m+ +1L, +1+- +1h- +1G. +1&/ +1c/ +1B0 +1!1 +1^1 +1=2 +1z2 +1Y3 +184 +1u4 +1T5 +1> +1~ +#14070000 +0h" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +0A +0#" +#14080000 +1u" +1T# +13$ +1p$ +1O% +1.& +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1\, +1;- +1x- +1W. +16/ +1s/ +1R0 +111 +1n1 +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +1N +10" +1i" +b100100z1 b" +b100100z1 o" +1H# +b100100z1 A# +b100100z1 N# +1'$ +b100100z1 ~# +b100100z1 -$ +1d$ +b100100z1 ]$ +b100100z1 j$ +1C% +b100100z1 <% +b100100z1 I% +1"& +b100100z1 y% +b100100z1 (& +1_& +b100100z1 X& +b100100z1 e& +1>' +b100100z1 7' +b100100z1 D' +1{' +b100100z1 t' +b100100z1 #( +1Z( +b100100z1 S( +b100100z1 `( +19) +b100100z1 2) +b100100z1 ?) +1v) +b100100z1 o) +b100100z1 |) +1U* +b100100z1 N* +b100100z1 [* +14+ +b100100z1 -+ +b100100z1 :+ +1q+ +b100100z1 j+ +b100100z1 w+ +1P, +b100100z1 I, +b100100z1 V, +1/- +b100100z1 (- +b100100z1 5- +1l- +b100100z1 e- +b100100z1 r- +1K. +b100100z1 D. +b100100z1 Q. +1*/ +b100100z1 #/ +b100100z1 0/ +1g/ +b100100z1 `/ +b100100z1 m/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1%1 +b100100z1 |0 +b100100z1 +1 +1b1 +b100100z1 [1 +b100100z1 h1 +1A2 +b100100z1 :2 +b100100z1 G2 +1~2 +b100100z1 w2 +b100100z1 &3 +1]3 +b100100z1 V3 +b100100z1 c3 +1<4 +b100100z1 54 +b100100z1 B4 +1y4 +b100100z1 r4 +b100100z1 !5 +1X5 +b100100z1 Q5 +b100100z1 ^5 +1B +b100100z1 ; +b100100z1 H +1$" +b100100z1 { +b100100z1 *" +18# +1u# +1T$ +13% +1p% +1O& +1.' +1k' +1J( +1)) +1f) +1E* +1$+ +1a+ +1@, +1}, +1\- +1;. +1x. +1W/ +160 +1s0 +1R1 +112 +1n2 +1M3 +1,4 +1i4 +1H5 +1'6 +1o +1Q" +#14090000 +0k" +0J# +0)$ +0f$ +0E% +0$& +0a& +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0R, +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +0d1 +0C2 +0"3 +0_3 +0>4 +0{4 +0Z5 +0D +0&" +#14100000 +1z" +1Y# +18$ +1u$ +1T% +13& +1p& +1O' +1.( +1k( +1J) +1)* +1f* +1E+ +1$, +1a, +1@- +1}- +1\. +1;/ +1x/ +1W0 +161 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +1S +15" +1r" +1Q# +10$ +1m$ +1L% +1+& +1h& +1G' +1&( +1c( +1B) +1!* +1^* +1=+ +1z+ +1Y, +18- +1u- +1T. +13/ +1p/ +1O0 +1.1 +1k1 +1J2 +1)3 +1f3 +1E4 +1$5 +1a5 +1K +1-" +#14110000 +0u" +0T# +03$ +0p$ +0O% +0.& +0k& +0J' +0)( +0f( +0E) +0$* +0a* +0@+ +0}+ +0\, +0;- +0x- +0W. +06/ +0s/ +0R0 +011 +0n1 +0M2 +0,3 +0i3 +0H4 +0'5 +0d5 +0N +00" +0i" +b100100z0 b" +b100100z0 o" +0H# +b100100z0 A# +b100100z0 N# +0'$ +b100100z0 ~# +b100100z0 -$ +0d$ +b100100z0 ]$ +b100100z0 j$ +0C% +b100100z0 <% +b100100z0 I% +0"& +b100100z0 y% +b100100z0 (& +0_& +b100100z0 X& +b100100z0 e& +0>' +b100100z0 7' +b100100z0 D' +0{' +b100100z0 t' +b100100z0 #( +0Z( +b100100z0 S( +b100100z0 `( +09) +b100100z0 2) +b100100z0 ?) +0v) +b100100z0 o) +b100100z0 |) +0U* +b100100z0 N* +b100100z0 [* +04+ +b100100z0 -+ +b100100z0 :+ +0q+ +b100100z0 j+ +b100100z0 w+ +0P, +b100100z0 I, +b100100z0 V, +0/- +b100100z0 (- +b100100z0 5- +0l- +b100100z0 e- +b100100z0 r- +0K. +b100100z0 D. +b100100z0 Q. +0*/ +b100100z0 #/ +b100100z0 0/ +0g/ +b100100z0 `/ +b100100z0 m/ +0F0 +b100100z0 ?0 +b100100z0 L0 +0%1 +b100100z0 |0 +b100100z0 +1 +0b1 +b100100z0 [1 +b100100z0 h1 +0A2 +b100100z0 :2 +b100100z0 G2 +0~2 +b100100z0 w2 +b100100z0 &3 +0]3 +b100100z0 V3 +b100100z0 c3 +0<4 +b100100z0 54 +b100100z0 B4 +0y4 +b100100z0 r4 +b100100z0 !5 +0X5 +b100100z0 Q5 +b100100z0 ^5 +0B +b100100z0 ; +b100100z0 H +0$" +b100100z0 { +b100100z0 *" +#14120000 +1%# +1b# +1A$ +1~$ +1]% +1<& +1y& +1X' +17( +1t( +1S) +12* +1o* +1N+ +1-, +1j, +1I- +1(. +1e. +1D/ +1#0 +1`0 +1?1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +1\ +1>" +#14130000 +0z" +0Y# +08$ +0u$ +0T% +03& +0p& +0O' +0.( +0k( +0J) +0)* +0f* +0E+ +0$, +0a, +0@- +0}- +0\. +0;/ +0x/ +0W0 +061 +0s1 +0R2 +013 +0n3 +0M4 +0,5 +0i5 +0S +05" +#14140000 +1&# +1c# +1B$ +1!% +1^% +1=& +1z& +1Y' +18( +1u( +1T) +13* +1p* +1O+ +1., +1k, +1J- +1). +1f. +1E/ +1$0 +1a0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +1] +1?" +#14150000 +0%# +0b# +0A$ +0~$ +0]% +0<& +0y& +0X' +07( +0t( +0S) +02* +0o* +0N+ +0-, +0j, +0I- +0(. +0e. +0D/ +0#0 +0`0 +0?1 +0|1 +0[2 +0:3 +0w3 +0V4 +055 +0r5 +0\ +0>" +#14160000 +1q" +1P# +1/$ +1l$ +1K% +1*& +1g& +1F' +1%( +1b( +1A) +1~) +1]* +1<+ +1y+ +1X, +17- +1t- +1S. +12/ +1o/ +1N0 +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +1J +1," +#14170000 +0&# +0c# +0B$ +0!% +0^% +0=& +0z& +0Y' +08( +0u( +0T) +03* +0p* +0O+ +0., +0k, +0J- +0). +0f. +0E/ +0$0 +0a0 +0@1 +0}1 +0\2 +0;3 +0x3 +0W4 +065 +0s5 +0] +0?" +#14180000 +1m" +1L# +1+$ +1h$ +1G% +1&& +1c& +1B' +1!( +1^( +1=) +1z) +1Y* +18+ +1u+ +1T, +13- +1p- +1O. +1./ +1k/ +1J0 +1)1 +1f1 +1E2 +1$3 +1a3 +1@4 +1}4 +1\5 +1F +1(" +#14190000 +0q" +0P# +0/$ +0l$ +0K% +0*& +0g& +0F' +0%( +0b( +0A) +0~) +0]* +0<+ +0y+ +0X, +07- +0t- +0S. +02/ +0o/ +0N0 +0-1 +0j1 +0I2 +0(3 +0e3 +0D4 +0#5 +0`5 +0J +0," +#14200000 +1\" +1;# +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +17 +1s +b11111111111111111111111111111111 # +b11111111111111111111111111111111 / +#14210000 +b1111111111111111111111111111110 1 +b0zz + +0m" +0L# +0+$ +0h$ +0G% +0&& +0c& +0B' +0!( +0^( +0=) +0z) +0Y* +08+ +0u+ +0T, +03- +0p- +0O. +0./ +0k/ +0J0 +0)1 +0f1 +0E2 +0$3 +0a3 +0@4 +0}4 +0\5 +0F +0(" +#14230000 +0$ +b0 1 +0\" +0;# +0x# +0W$ +06% +0s% +0R& +01' +0n' +0M( +0,) +0i) +0H* +0'+ +0d+ +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +07 +0s +b0 # +b0 / +#14240000 +b1 1 +b111111111111111111111111111111zz + +#14260000 +b11 1 +#14280000 +b111 1 +#14300000 +b1111 1 +#14320000 +b11111 1 +#14340000 +b111111 1 +#14360000 +b1111111 1 +#14380000 +b11111111 1 +#14400000 +b111111111 1 +#14420000 +b1111111111 1 +#14440000 +b11111111111 1 +#14460000 +b111111111111 1 +#14480000 +b1111111111111 1 +#14500000 +b11111111111111 1 +#14520000 +b111111111111111 1 +#14540000 +b1111111111111111 1 +#14560000 +b11111111111111111 1 +#14580000 +b111111111111111111 1 +#14600000 +b1111111111111111111 1 +#14620000 +b11111111111111111111 1 +#14640000 +b111111111111111111111 1 +#14660000 +b1111111111111111111111 1 +#14680000 +b11111111111111111111111 1 +#14700000 +b111111111111111111111111 1 +#14720000 +b1111111111111111111111111 1 +#14740000 +b11111111111111111111111111 1 +#14760000 +b111111111111111111111111111 1 +#14780000 +b1111111111111111111111111111 1 +#14800000 +b11111111111111111111111111111 1 +#14820000 +b111111111111111111111111111111 1 +#14840000 +1$ +b1111111111111111111111111111111 1 +#16000000 +1M +1_ +1/" +1A" +1t" +1(# +1S# +1e# +12$ +1D$ +1o$ +1#% +1N% +1`% +1-& +1?& +1j& +1|& +1I' +1[' +1(( +1:( +1e( +1w( +1D) +1V) +1#* +15* +1`* +1r* +1?+ +1Q+ +1|+ +10, +1[, +1m, +1:- +1L- +1w- +1+. +1V. +1h. +15/ +1G/ +1r/ +1&0 +1Q0 +1c0 +101 +1B1 +1m1 +1!2 +1L2 +1^2 +1+3 +1=3 +1h3 +1z3 +1G4 +1Y4 +1&5 +185 +1c5 +1u5 +03 +0* +b10 4 +b10 , +b10 : +b10 E +b10 v +b10 z +b10 '" +b10 a" +b10 l" +b10 @# +b10 K# +b10 }# +b10 *$ +b10 \$ +b10 g$ +b10 ;% +b10 F% +b10 x% +b10 %& +b10 W& +b10 b& +b10 6' +b10 A' +b10 s' +b10 ~' +b10 R( +b10 ]( +b10 1) +b10 <) +b10 n) +b10 y) +b10 M* +b10 X* +b10 ,+ +b10 7+ +b10 i+ +b10 t+ +b10 H, +b10 S, +b10 '- +b10 2- +b10 d- +b10 o- +b10 C. +b10 N. +b10 "/ +b10 -/ +b10 _/ +b10 j/ +b10 >0 +b10 I0 +b10 {0 +b10 (1 +b10 Z1 +b10 e1 +b10 92 +b10 D2 +b10 v2 +b10 #3 +b10 U3 +b10 `3 +b10 44 +b10 ?4 +b10 q4 +b10 |4 +b10 P5 +b10 [5 +0:# +0V$ +0r% +0m' +0+) +0G* +0c+ +0T1 +0p2 +0.4 +0J5 +06 +0Z" +0v# +04% +0P& +0/' +0l' +0K( +0*) +0g) +0F* +0%+ +0b+ +0~, +0<. +0X/ +0t0 +0S1 +0o2 +0-4 +0I5 +b10 ' +b10 ( +b10 2 +b10101010111111110101010110101010 & +b10101010111111110101010110101010 . +b10101010010101010000000001010101 % +b10101010010101010000000001010101 - +#16010000 +1g# +1%% +1A& +1a +1*# +1F$ +1b% +1~& +1]' +1<( +1=( +1y( +1X) +1Y) +17* +1t* +1u* +1S+ +12, +13, +1N- +1j. +1(0 +1D1 +1#2 +1$2 +1?3 +1@3 +1[4 +1\4 +1w5 +1x5 +0[ +0m +0=" +0O" +0$# +06# +0a# +0s# +0@$ +0R$ +0}$ +01% +0\% +0n% +0;& +0M& +0x& +0,' +0W' +0i' +06( +0H( +0s( +0') +0R) +0d) +01* +0C* +0n* +0"+ +0M+ +0_+ +0,, +0>, +0i, +0{, +0H- +0Z- +0'. +09. +0d. +0v. +0C/ +0U/ +0"0 +040 +0_0 +0q0 +0>1 +0P1 +0{1 +0/2 +0Z2 +0l2 +093 +0K3 +0v3 +0*4 +0U4 +0g4 +045 +0F5 +0q5 +0%6 +1@ +b101100z0 A# +b101100z0 N# +b101100z0 ]$ +b101100z0 j$ +b101100z0 y% +b101100z0 (& +b101100z0 ; +b101100z0 H +b101100z0 b" +b101100z0 o" +b101100z0 ~# +b101100z0 -$ +b101100z0 <% +b101100z0 I% +b101100z0 X& +b101100z0 e& +b101100z0 7' +b101100z0 D' +b111100z0 t' +b111100z0 #( +b101100z0 S( +b101100z0 `( +b111100z0 2) +b111100z0 ?) +b101100z0 o) +b101100z0 |) +b111100z0 N* +b111100z0 [* +b101100z0 -+ +b101100z0 :+ +b111100z0 j+ +b111100z0 w+ +b101100z0 (- +b101100z0 5- +b101100z0 D. +b101100z0 Q. +b101100z0 `/ +b101100z0 m/ +b101100z0 |0 +b101100z0 +1 +b111100z0 [1 +b111100z0 h1 +b111100z0 w2 +b111100z0 &3 +b111100z0 54 +b111100z0 B4 +b111100z0 Q5 +b111100z0 ^5 +#16020000 +0f# +0$% +0@& +0` +0)# +0E$ +0a% +0}& +0\' +0;( +0>( +0x( +0W) +0Z) +06* +0s* +0v* +0R+ +01, +04, +0M- +0i. +0'0 +0C1 +0"2 +0%2 +0>3 +0A3 +0Z4 +0]4 +0v5 +0y5 +b101000z0 A# +b101000z0 N# +b101000z0 ]$ +b101000z0 j$ +b101000z0 y% +b101000z0 (& +b101000z0 ; +b101000z0 H +b101000z0 b" +b101000z0 o" +b101000z0 ~# +b101000z0 -$ +b101000z0 <% +b101000z0 I% +b101000z0 X& +b101000z0 e& +b101000z0 7' +b101000z0 D' +b11000z0 t' +b11000z0 #( +b101000z0 S( +b101000z0 `( +b11000z0 2) +b11000z0 ?) +b101000z0 o) +b101000z0 |) +b11000z0 N* +b11000z0 [* +b101000z0 -+ +b101000z0 :+ +b11000z0 j+ +b11000z0 w+ +b101000z0 (- +b101000z0 5- +b101000z0 D. +b101000z0 Q. +b101000z0 `/ +b101000z0 m/ +b101000z0 |0 +b101000z0 +1 +b11000z0 [1 +b11000z0 h1 +b11000z0 w2 +b11000z0 &3 +b11000z0 54 +b11000z0 B4 +b11000z0 Q5 +b11000z0 ^5 +1V +1h +18" +1J" +1}" +11# +1\# +1n# +1;$ +1M$ +1x$ +1,% +1W% +1i% +16& +1H& +1s& +1'' +1R' +1d' +11( +1C( +1n( +1") +1M) +1_) +1,* +1>* +1i* +1{* +1H+ +1Z+ +1', +19, +1d, +1v, +1C- +1U- +1". +14. +1_. +1q. +1>/ +1P/ +1{/ +1/0 +1Z0 +1l0 +191 +1K1 +1v1 +1*2 +1U2 +1g2 +143 +1F3 +1q3 +1%4 +1P4 +1b4 +1/5 +1A5 +1l5 +1~5 +0? +0d" +0"$ +0>% +0Z& +09' +0v' +0U( +04) +0q) +0P* +0/+ +0l+ +0*- +0F. +0b/ +0~0 +0]1 +0y2 +074 +0S5 +#16030000 +1V# +1r$ +10& +1P +1w" +15$ +1Q% +1m& +1L' +1h( +1&* +1B+ +1=- +1Y. +1u/ +131 +0R +0d +04" +0F" +0y" +0-# +0X# +0j# +07$ +0I$ +0t$ +0(% +0S% +0e% +02& +0D& +0o& +0#' +0N' +0`' +0-( +0?( +0j( +0|( +0I) +0[) +0(* +0:* +0e* +0w* +0D+ +0V+ +0#, +05, +0`, +0r, +0?- +0Q- +0|- +00. +0[. +0m. +0:/ +0L/ +0w/ +0+0 +0V0 +0h0 +051 +0G1 +0r1 +0&2 +0Q2 +0c2 +003 +0B3 +0m3 +0!4 +0L4 +0^4 +0+5 +0=5 +0h5 +0z5 +1C +1y +1_" +1{# +19% +1U& +14' +1P( +1l) +1*+ +1F, +1%- +1b- +1A. +1~. +1]/ +1<0 +1y0 +172 +1S3 +1o4 +b101001z0 A# +b101001z0 N# +b101001z0 ]$ +b101001z0 j$ +b101001z0 y% +b101001z0 (& +b101001z0 ; +b101001z0 H +b101001z0 b" +b101001z0 o" +0e" +b101001z0 ~# +b101001z0 -$ +0#$ +b101001z0 <% +b101001z0 I% +0?% +b101001z0 X& +b101001z0 e& +0[& +b101001z0 7' +b101001z0 D' +0:' +0w' +b101001z0 S( +b101001z0 `( +0V( +05) +b101001z0 o) +b101001z0 |) +0r) +0Q* +b101001z0 -+ +b101001z0 :+ +00+ +0m+ +b101001z0 (- +b101001z0 5- +0+- +b101001z0 D. +b101001z0 Q. +0G. +b101001z0 `/ +b101001z0 m/ +0c/ +b101001z0 |0 +b101001z0 +1 +0!1 +0^1 +0z2 +084 +0T5 +#16040000 +0]" +1h" +1&$ +1B% +1^& +1=' +1z' +1Y( +18) +1u) +1T* +13+ +1p+ +1.- +1J. +1f/ +1$1 +1a1 +1}2 +1;4 +1W5 +0k# +0)% +0E& +0e +0.# +0J$ +0f% +0$' +0a' +0@( +0}( +0\) +0;* +0x* +0W+ +06, +0R- +0n. +0,0 +0H1 +0'2 +0C3 +0_4 +0{5 +1D( +1`) +1|* +1:, +1+2 +1G3 +1c4 +1!6 +08 +b1111111111111111111111111111110 ) +0f" +0$$ +0@% +0\& +0;' +0x' +0W( +06) +0s) +0R* +01+ +0n+ +0,- +0H. +0d/ +0"1 +0_1 +0{2 +094 +0U5 +#16050000 +1N +1g" +1]# +1y$ +17& +1W +1~" +1<$ +1X% +1t& +1S' +1o( +1-* +1I+ +1D- +1`. +1|/ +1:1 +0G" +0s, +01. +0M/ +0i0 +0d2 +0"4 +0>5 +1B +b101001z1 ; +b101001z1 H +1| +1d" +1"$ +1>% +1Z& +19' +1U( +1q) +1/+ +1J, +1*- +1f- +1F. +1$/ +1b/ +1@0 +1~0 +1;2 +1W3 +1s4 +#16060000 +0<# +0X$ +0t% +02' +0o' +0N( +0-) +0j) +0I* +0(+ +0e+ +0D, +0`- +0|. +0:0 +0V1 +052 +0Q3 +0m4 +0t +1)$ +1E% +1a& +1@' +1}' +1\( +1;) +1x) +1W* +16+ +1s+ +11- +1M. +1i/ +1'1 +1d1 +1"3 +1>4 +1Z5 +0t# +02% +0N& +0n +07# +0S$ +0o% +0-' +0j' +0I( +0() +0e) +0D* +0#+ +0`+ +0?, +0[- +0w. +050 +0Q1 +002 +0L3 +0h4 +0&6 +0^" +0z# +08% +0T& +03' +0p' +0O( +0.) +0k) +0J* +0)+ +0f+ +0$- +0@. +0\/ +0x0 +0W1 +0s2 +014 +0M5 +b101010010101010000000001010100 ) +0~ +1e" +1#$ +1?% +1[& +1:' +1V( +1r) +10+ +0L, +1+- +0h- +1G. +0&/ +1c/ +0B0 +1!1 +0=2 +0Y3 +0u4 +#16070000 +1F# +1b$ +1~% +1<' +1y' +1X( +17) +1t) +1S* +12+ +1o+ +1N, +1j- +1(/ +1D0 +1`1 +1?2 +1[3 +1w4 +1"" +1V" +1#" +0h" +0&$ +0B% +0^& +0=' +0Y( +0u) +03+ +1O, +0.- +1k- +0J. +1)/ +0f/ +1E0 +0$1 +1@2 +1\3 +1x4 +1c# +1!% +1=& +1] +1&# +1B$ +1^% +1z& +1Y' +1u( +13* +1O+ +1J- +1f. +1$0 +1@1 +0P" +0|, +0:. +0V/ +0r0 +0m2 +0+4 +0G5 +1$$ +1@% +1\& +1,- +1H. +1d/ +1"1 +#16080000 +13$ +1O% +1k& +1J' +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1;- +1W. +1s/ +111 +1n1 +1,3 +1H4 +1d5 +0E# +0a$ +0}% +0@' +0}' +0\( +0;) +0x) +0W* +06+ +0s+ +0M, +0i- +0'/ +0C0 +0d1 +0>2 +0Z3 +0v4 +0!" +0U" +1'$ +b101001z1 ~# +b101001z1 -$ +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1>' +b101001z1 7' +b101001z1 D' +1{' +b11000z1 t' +b11000z1 #( +1Z( +b101001z1 S( +b101001z1 `( +19) +b11000z1 2) +b11000z1 ?) +1v) +b101001z1 o) +b101001z1 |) +1U* +b11000z1 N* +b11000z1 [* +14+ +b101001z1 -+ +b101001z1 :+ +1q+ +b11000z1 j+ +b11000z1 w+ +1/- +b101001z1 (- +b101001z1 5- +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +1b1 +b11000z1 [1 +b11000z1 h1 +1~2 +b11000z1 w2 +b11000z1 &3 +1<4 +b11000z1 54 +b11000z1 B4 +1X5 +b11000z1 Q5 +b11000z1 ^5 +0u# +03% +0O& +0o +08# +0T$ +0p% +0.' +0k' +0)) +0E* +0a+ +0\- +0x. +060 +0R1 +1j" +#16090000 +1X$ +1t% +12' +1`- +1|. +1:0 +1V1 +1I# +1e$ +1#& +1?' +1[( +1w) +15+ +1X" +0)$ +0E% +0a& +01- +0M. +0i/ +0'1 +1P# +1l$ +1*& +1J +1q" +1/$ +1K% +1g& +1F' +1b( +1~) +1<+ +17- +1S. +1o/ +1-1 +0Q" +0}, +0;. +0W/ +0s0 +0n2 +0,4 +0H5 +1z# +18% +1T& +1$- +1@. +1\/ +1x0 +b101010111111110000000011111100 ) +1" +#16100000 +0y# +07% +0S& +0)( +0E) +0a* +0}+ +0n1 +1u" +0b$ +0~% +0<' +0j- +0(/ +0D0 +0`1 +0=# +0Y$ +0u% +b101010111111110000000010101000 ) +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +0b1 +b11000z0 [1 +b11000z0 h1 +0p +0Q# +0m$ +0+& +0K +0r" +00$ +0L% +0h& +0G' +0c( +0!* +0=+ +08- +0T. +0p/ +0.1 +1i" +b101001z1 b" +b101001z1 o" +#16110000 +1T# +1p$ +1.& +03$ +0O% +0k& +0;- +0W. +0s/ +011 +1%$ +1A% +1]& +1a$ +1}% +1;' +1n- +1i- +1,/ +1'/ +1H0 +1C0 +1d1 +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1x +0'$ +b101001z0 ~# +b101001z0 -$ +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +0/- +b101001z0 (- +b101001z0 5- +0K. +b101001z0 D. +b101001z0 Q. +0g/ +b101001z0 `/ +b101001z0 m/ +0%1 +b101001z0 |0 +b101001z0 +1 +1L# +1h$ +1&& +1F +1m" +1+$ +1G% +1c& +1B' +1^( +1z) +18+ +13- +1O. +1k/ +1)1 +0-" +0Y, +0u- +03/ +0O0 +0J2 +0f3 +0$5 +#16120000 +0$$ +0@% +0\& +0e$ +0#& +0?' +#16130000 +17% +1S& +1o' +1x- +16/ +1R0 +1n1 +1($ +1D% +1`& +1Y$ +1u% +13' +b101010111111110000000111111000 ) +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1b1 +b11000z1 [1 +b11000z1 h1 +1;# +1W$ +1s% +17 +1\" +1x# +16% +1R& +11' +1M( +1i) +1'+ +1"- +1>. +1Z/ +1v0 +b101010100101010111111111 # +b101010100101010111111111 / +#16140000 +0X$ +0t% +02' +0p$ +0.& +0J' +0A% +0]& +0y' +b1111111111111111111111111111110 1 +b111111110101010110101010000000zz + +0z# +08% +0T& +b101010111111110000000101010000 ) +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +#16150000 +13$ +1O% +1k& +1b$ +1~% +1<' +1@% +1\& +1}' +1'$ +b101001z1 ~# +b101001z1 -$ +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +#16160000 +b1111111101010101101010100000000 1 +0a$ +0}% +0;' +0D% +0`& +#16170000 +1t% +12' +1)( +1e$ +1#& +1?' +18% +1T& +b101010111111110000000111110000 ) +1{' +b11000z1 t' +b11000z1 #( +#16180000 +07% +0S& +0o' +0O% +0k& +0~% +0<' +b1111111000000001000000000000000 1 +0Y$ +0u% +03' +b101010111111110000000010100000 ) +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +#16190000 +1p$ +1.& +1J' +1A% +1]& +1y' +1}% +1;' +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +#16200000 +b1111110000000000000000000000000 1 +0@% +0\& +0}' +0#& +0?' +#16210000 +1S& +1o' +1D% +1`& +1u% +13' +b101010111111110000000111100000 ) +#16220000 +0t% +02' +0)( +0.& +0J' +0]& +0y' +b1111100000000000000000000000000 1 +08% +0T& +b101010111111110000000101000000 ) +0{' +b11000z0 t' +b11000z0 #( +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +#16230000 +1O% +1k& +1~% +1<' +1\& +1}' +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +#16240000 +b1111000000000000000000000000000 1 +0}% +0;' +0`& +#16250000 +12' +1)( +1#& +1?' +1T& +b101010111111110000000111000000 ) +1{' +b11000z1 t' +b11000z1 #( +#16260000 +0S& +0o' +0k& +0<' +b1110000000000000000000000000000 1 +0u% +03' +b101010111111110000000010000000 ) +0_& +b101001z0 X& +b101001z0 e& +#16270000 +1.& +1J' +1]& +1y' +1;' +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +#16280000 +b1100000000000000000000000000000 1 +0\& +0}' +0?' +#16290000 +1o' +1`& +13' +b101010111111110000000110000000 ) +#16300000 +02' +0)( +0J' +0y' +b1000000000000000000000000000000 1 +0T& +b101010111111110000000100000000 ) +0{' +b11000z0 t' +b11000z0 #( +0>' +b101001z0 7' +b101001z0 D' +#16310000 +1k& +1<' +1}' +1_& +b101001z1 X& +b101001z1 e& +#16320000 +0$ +b0 1 +0;' +#16330000 +1)( +1?' +1{' +b11000z1 t' +b11000z1 #( +#16340000 +0o' +03' +b101010111111110000000000000000 ) +#16350000 +1J' +1y' +1>' +b101001z1 7' +b101001z1 D' +#16360000 +0}' +#16380000 +0)( +0{' +b11000z0 t' +b11000z0 #( +#18000000 +1:# +1V$ +1r% +00' +0L( +0h) +0&+ +032 +0O3 +0k4 +16 +0r +09# +0U$ +0q% +1~, +1<. +1X/ +1t0 +1S1 +1o2 +1-4 +1I5 +05 +b111111110000000011111111 & +b111111110000000011111111 . +b11111111111111110000000000000000 % +b11111111111111110000000000000000 - +#18010000 +1^' +1z( +18* +1T+ +1`2 +1|3 +1:5 +1C" +0N- +0j. +0(0 +0D1 +0$2 +0@3 +0\4 +0x5 +b111001z1 7' +b111001z1 D' +b111001z1 S( +b111001z1 `( +b111001z1 o) +b111001z1 |) +b111001z1 -+ +b111001z1 :+ +b101100z0 :2 +b101100z0 G2 +b101100z0 V3 +b101100z0 c3 +b101100z0 r4 +b101100z0 !5 +1w +b101100z0 { +b101100z0 *" +b100001z0 (- +b100001z0 5- +b100001z0 D. +b100001z0 Q. +b100001z0 `/ +b100001z0 m/ +b100001z0 |0 +b100001z0 +1 +b1000z1 [1 +b1000z1 h1 +b1000z1 w2 +b1000z1 &3 +b1000z1 54 +b1000z1 B4 +b1000z1 Q5 +b1000z1 ^5 +#18020000 +0_' +0{( +09* +0U+ +0_2 +0{3 +095 +0B" +1M- +1i. +1'0 +1C1 +1%2 +1A3 +1]4 +1y5 +b11001z1 7' +b11001z1 D' +b11001z1 S( +b11001z1 `( +b11001z1 o) +b11001z1 |) +b11001z1 -+ +b11001z1 :+ +b101000z0 :2 +b101000z0 G2 +b101000z0 V3 +b101000z0 c3 +b101000z0 r4 +b101000z0 !5 +b101000z0 { +b101000z0 *" +b100101z0 (- +b100101z0 5- +b100101z0 D. +b100101z0 Q. +b100101z0 `/ +b100101z0 m/ +b100101z0 |0 +b100101z0 +1 +b101000z1 [1 +b101000z1 h1 +b101000z1 w2 +b101000z1 &3 +b101000z1 54 +b101000z1 B4 +b101000z1 Q5 +b101000z1 ^5 +0C# +0_$ +0{% +1)- +1E. +1a/ +1}0 +1]1 +1y2 +174 +1S5 +0= +#18030000 +0L' +0h( +0&* +0B+ +1O2 +1k3 +1)5 +12" +0=- +0Y. +0u/ +031 +1p1 +1.3 +1J4 +1f5 +1e' +1#) +1?* +1[+ +0+2 +0G3 +0c4 +0!6 +1R" +1># +1Z$ +1v% +04' +b11000z1 7' +b11000z1 D' +0P( +b11000z1 S( +b11000z1 `( +0l) +b11000z1 o) +b11000z1 |) +0*+ +b11000z1 -+ +b11000z1 :+ +072 +b101001z0 :2 +b101001z0 G2 +0S3 +b101001z0 V3 +b101001z0 c3 +0o4 +b101001z0 r4 +b101001z0 !5 +19 +0y +b101001z0 { +b101001z0 *" +0D# +0`$ +0|% +b100100z0 (- +b100100z0 5- +0+- +b100100z0 D. +b100100z0 Q. +0G. +b100100z0 `/ +b100100z0 m/ +0c/ +b100100z0 |0 +b100100z0 +1 +0!1 +b101001z1 [1 +b101001z1 h1 +1^1 +b101001z1 w2 +b101001z1 &3 +1z2 +b101001z1 54 +b101001z1 B4 +184 +b101001z1 Q5 +b101001z1 ^5 +1T5 +0> +#18040000 +1G# +1c$ +1!& +1.- +1J. +1f/ +1$1 +0a1 +0}2 +0;4 +0W5 +1A +1_1 +1{2 +194 +1U5 +0T" +#18050000 +1W" +0S' +0o( +0-* +0I+ +1V2 +1r3 +105 +19" +0D- +0`. +0|/ +0:1 +1w1 +153 +1Q4 +1m5 +1k' +1)) +1E* +1a+ +012 +0M3 +0i4 +0'6 +1p +1C# +1_$ +1{% +09' +0U( +0q) +0/+ +0;2 +0W3 +0s4 +1= +0| +0I# +0e$ +0#& +0C +#18060000 +152 +1Q3 +1m4 +1t +11- +1M. +1i/ +1'1 +0d1 +0"3 +0>4 +0Z5 +1W1 +1s2 +114 +1M5 +b1111111111111110000000000000000 ) +0X" +1D# +1`$ +1|% +0:' +0V( +0r) +00+ +1=2 +1Y3 +1u4 +1> +1~ +#18070000 +0r2 +004 +0L5 +0T# +0p$ +0.& +0N +0?2 +0[3 +0w4 +0"" +0V" +0G# +0c$ +0!& +1=' +1Y( +1u) +13+ +0@2 +0\3 +0x4 +0A +0#" +0Y' +0u( +03* +0O+ +1\2 +1x3 +165 +1?" +0J- +0f. +0$0 +0@1 +1}1 +1;3 +1W4 +1s5 +1G' +1c( +1!* +1=+ +0k1 +0)3 +0E4 +0a5 +062 +0R3 +0n4 +b1010101111111110000000000000000 ) +0! +0H# +b101001z0 A# +b101001z0 N# +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0B +b101001z0 ; +b101001z0 H +#18080000 +1;- +1W. +1s/ +111 +0n1 +0,3 +0H4 +0d5 +1|2 +1:4 +1V5 +1>2 +1Z3 +1v4 +1!" +1Y" +1U" +1/- +b100100z1 (- +b100100z1 5- +1K. +b100100z1 D. +b100100z1 Q. +1g/ +b100100z1 `/ +b100100z1 m/ +1%1 +b100100z1 |0 +b100100z1 +1 +0b1 +b101001z0 [1 +b101001z0 h1 +0~2 +b101001z0 w2 +b101001z0 &3 +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +0x +1I# +1e$ +1#& +0?' +0[( +0w) +05+ +1C +#18090000 +0{2 +094 +0U5 +0F' +0b( +0~) +0<+ +1I2 +1e3 +1#5 +1," +07- +0S. +0o/ +0-1 +1j1 +1(3 +1D4 +1`5 +#18100000 +1r2 +104 +1L5 +1T# +1p$ +1.& +0J' +0f( +0$* +0@+ +1N +1!3 +1=4 +1Y5 +162 +1R3 +1n4 +b1111111111111110000000000000000 ) +1! +1x +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +0>' +b11000z0 7' +b11000z0 D' +0Z( +b11000z0 S( +b11000z0 `( +0v) +b11000z0 o) +b11000z0 |) +04+ +b11000z0 -+ +b11000z0 :+ +1B +b101001z1 ; +b101001z1 H +#18110000 +0Q3 +0m4 +0t +0|2 +0:4 +0V5 +0s2 +014 +0M5 +b101011111111110000000000000000 ) +0B' +0^( +0z) +08+ +1E2 +1a3 +1}4 +1(" +03- +0O. +0k/ +0)1 +1f1 +1$3 +1@4 +1\5 +#18120000 +1,3 +1H4 +1d5 +1[3 +1w4 +1"" +1V" +1{2 +194 +1U5 +1~2 +b101001z1 w2 +b101001z1 &3 +1<4 +b101001z1 54 +b101001z1 B4 +1X5 +b101001z1 Q5 +b101001z1 ^5 +#18130000 +0Z3 +0v4 +0!" +0Y" +0U" +0!3 +0=4 +0Y5 +01' +0M( +0i) +0'+ +142 +1P3 +1l4 +1s +0"- +0>. +0Z/ +0v0 +1U1 +1q2 +1/4 +1K5 +b11111111000000000000000011111111 # +b11111111000000000000000011111111 / +#18140000 +1Q3 +1m4 +1t +b1111111111111111000000zz + +1^3 +1z4 +1%" +1s2 +114 +1M5 +b1111111111111110000000000000000 ) +#18150000 +004 +0L5 +0,3 +0H4 +0d5 +0[3 +0w4 +0"" +0V" +0R3 +0n4 +b1010111111111110000000000000000 ) +0! +0x +0~2 +b101001z0 w2 +b101001z0 &3 +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#18160000 +1i3 +1'5 +10" +1:4 +1V5 +1Z3 +1v4 +1!" +1Y" +1U" +1]3 +b101001z1 V3 +b101001z1 c3 +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +#18170000 +094 +0U5 +0^3 +0z4 +0%" +#18180000 +104 +1L5 +1Q +1=4 +1Y5 +1R3 +1n4 +b1111111111111110000000000000000 ) +1! +1x +b101011z1 ; +b101011z1 H +10 +#18190000 +0m4 +0t +0i3 +0'5 +00" +0:4 +0V5 +014 +0M5 +b101111111111110000000000000000 ) +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +#18200000 +1H4 +1d5 +1w4 +1"" +1V" +194 +1U5 +1<4 +b101001z1 54 +b101001z1 B4 +1X5 +b101001z1 Q5 +b101001z1 ^5 +#18210000 +0Q +0v4 +0!" +0Y" +0U" +0=4 +0Y5 +b101001z1 ; +b101001z1 H +00 +#18220000 +1m4 +1t +1z4 +1%" +114 +1M5 +b1111111111111110000000000000000 ) +#18230000 +0L5 +0H4 +0d5 +0w4 +0"" +0V" +0n4 +b1011111111111110000000000000000 ) +0! +0x +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#18240000 +1'5 +10" +1V5 +1v4 +1!" +1Y" +1U" +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +#18250000 +0U5 +0z4 +0%" +#18260000 +1L5 +1Q +1Y5 +1n4 +b1111111111111110000000000000000 ) +1! +1x +b101011z1 ; +b101011z1 H +10 +#18270000 +0t +0'5 +00" +0V5 +0M5 +b111111111111110000000000000000 ) +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +#18280000 +1d5 +1"" +1V" +1U5 +1X5 +b101001z1 Q5 +b101001z1 ^5 +#18290000 +0Q +0!" +0Y" +0U" +0Y5 +b101001z1 ; +b101001z1 H +00 +#18300000 +1t +1%" +1M5 +b1111111111111110000000000000000 ) +#18310000 +0d5 +0"" +0V" +0! +0x +0X5 +b101001z0 Q5 +b101001z0 ^5 +#18320000 +10" +1!" +1Y" +1U" +1$" +b101001z1 { +b101001z1 *" +#18330000 +0%" +#18340000 +1Q +1! +1x +b101011z1 ; +b101011z1 H +10 +#18350000 +00" +0$" +b101001z0 { +b101001z0 *" +#18370000 +0Q +0" +b101001z1 ; +b101001z1 H +00 +#18400000 +1Q +b101011z1 ; +b101011z1 H +10 +#20000000 +1L +1^ +1." +1@" +1s" +1'# +1R# +1d# +11$ +1C$ +1n$ +1"% +1M% +1_% +1,& +1>& +1i& +1{& +1H' +1Z' +1'( +19( +1d( +1v( +1C) +1U) +1"* +14* +1_* +1q* +1>+ +1P+ +1{+ +1/, +1Z, +1l, +19- +1K- +1v- +1*. +1U. +1g. +14/ +1F/ +1q/ +1%0 +1P0 +1b0 +1/1 +1A1 +1l1 +1~1 +1K2 +1]2 +1*3 +1<3 +1g3 +1y3 +1F4 +1X4 +1%5 +175 +1b5 +1t5 +13 +1* +b11 4 +b11 , +b11 : +b11 E +b11 v +b11 z +b11 '" +b11 a" +b11 l" +b11 @# +b11 K# +b11 }# +b11 *$ +b11 \$ +b11 g$ +b11 ;% +b11 F% +b11 x% +b11 %& +b11 W& +b11 b& +b11 6' +b11 A' +b11 s' +b11 ~' +b11 R( +b11 ]( +b11 1) +b11 <) +b11 n) +b11 y) +b11 M* +b11 X* +b11 ,+ +b11 7+ +b11 i+ +b11 t+ +b11 H, +b11 S, +b11 '- +b11 2- +b11 d- +b11 o- +b11 C. +b11 N. +b11 "/ +b11 -/ +b11 _/ +b11 j/ +b11 >0 +b11 I0 +b11 {0 +b11 (1 +b11 Z1 +b11 e1 +b11 92 +b11 D2 +b11 v2 +b11 #3 +b11 U3 +b11 `3 +b11 44 +b11 ?4 +b11 q4 +b11 |4 +b11 P5 +b11 [5 +0:# +0V$ +0r% +10' +1L( +1h) +1&+ +0B, +0^- +0z. +080 +1T1 +1p2 +1.4 +1J5 +06 +1Z" +1v# +14% +1P& +1/' +1K( +1g) +1%+ +0~, +0<. +0X/ +0t0 +022 +0N3 +0j4 +0q +b11 ' +b11 ( +b11 2 +b1010101101010100101010110101010 & +b1010101101010100101010110101010 . +b1010101010101010101010110101010 % +b1010101010101010101010110101010 - +#20010000 +1h# +1&% +1B& +1o, +1-. +1I/ +1e0 +0#2 +0?3 +0[4 +0w5 +1b +0*# +0F$ +0b% +0~& +0]' +0^' +0y( +0z( +07* +08* +0S+ +0T+ +1N- +1j. +1(0 +1D1 +1a2 +1}3 +1;5 +1D" +0Z +0l +0<" +0N" +0## +05# +0`# +0r# +0?$ +0Q$ +0|$ +00% +0[% +0m% +0:& +0L& +0w& +0+' +0V' +0h' +05( +0G( +0r( +0&) +0Q) +0c) +00* +0B* +0m* +0!+ +0L+ +0^+ +0+, +0=, +0h, +0z, +0G- +0Y- +0&. +08. +0c. +0u. +0B/ +0T/ +0!0 +030 +0^0 +0p0 +0=1 +0O1 +0z1 +0.2 +0Y2 +0k2 +083 +0J3 +0u3 +0)4 +0T4 +0f4 +035 +0E5 +0p5 +0$6 +0@ +b111001z1 A# +b111001z1 N# +b111001z1 ]$ +b111001z1 j$ +b111001z1 y% +b111001z1 (& +b101100z0 I, +b101100z0 V, +b101100z1 e- +b101100z1 r- +b101100z1 #/ +b101100z1 0/ +b101100z1 ?0 +b101100z1 L0 +b100001z0 [1 +b100001z0 h1 +b100001z0 w2 +b100001z0 &3 +b100001z0 54 +b100001z0 B4 +b100001z0 Q5 +b100001z0 ^5 +b111011z1 ; +b111011z1 H +b100001z1 b" +b100001z1 o" +b100001z1 ~# +b100001z1 -$ +b100001z1 <% +b100001z1 I% +b100001z1 X& +b100001z1 e& +b0z0 7' +b0z0 D' +b0z0 S( +b0z0 `( +b0z0 o) +b0z0 |) +b0z0 -+ +b0z0 :+ +b101100z1 (- +b101100z1 5- +b101100z1 D. +b101100z1 Q. +b101100z1 `/ +b101100z1 m/ +b101100z1 |0 +b101100z1 +1 +b111001z0 :2 +b111001z0 G2 +b111001z0 V3 +b111001z0 c3 +b111001z0 r4 +b111001z0 !5 +b111001z0 { +b111001z0 *" +#20020000 +0i# +0'% +0C& +0n, +0,. +0H/ +0d0 +1"2 +1>3 +1Z4 +1v5 +0c +1)# +1E$ +1a% +1}& +1\' +1_' +1x( +1{( +16* +19* +1R+ +1U+ +0M- +0i. +0'0 +0C1 +0b2 +0~3 +0<5 +0E" +b11001z1 A# +b11001z1 N# +b11001z1 ]$ +b11001z1 j$ +b11001z1 y% +b11001z1 (& +b101000z0 I, +b101000z0 V, +b101000z1 e- +b101000z1 r- +b101000z1 #/ +b101000z1 0/ +b101000z1 ?0 +b101000z1 L0 +b100101z0 [1 +b100101z0 h1 +b100101z0 w2 +b100101z0 &3 +b100101z0 54 +b100101z0 B4 +b100101z0 Q5 +b100101z0 ^5 +b11011z1 ; +b11011z1 H +b100101z1 b" +b100101z1 o" +b100101z1 ~# +b100101z1 -$ +b100101z1 <% +b100101z1 I% +b100101z1 X& +b100101z1 e& +b100100z0 7' +b100100z0 D' +b100100z0 S( +b100100z0 `( +b100100z0 o) +b100100z0 |) +b100100z0 -+ +b100100z0 :+ +b101000z1 (- +b101000z1 5- +b101000z1 D. +b101000z1 Q. +b101000z1 `/ +b101000z1 m/ +b101000z1 |0 +b101000z1 +1 +b11001z0 :2 +b11001z0 G2 +b11001z0 V3 +b11001z0 c3 +b11001z0 r4 +b11001z0 !5 +b11001z0 { +b11001z0 *" +1X +1j +1:" +1L" +1!# +13# +1^# +1p# +1=$ +1O$ +1z$ +1.% +1Y% +1k% +18& +1J& +1u& +1)' +1T' +1f' +13( +1E( +1p( +1$) +1O) +1a) +1.* +1@* +1k* +1}* +1J+ +1\+ +1), +1;, +1f, +1x, +1E- +1W- +1$. +16. +1a. +1s. +1@/ +1R/ +1}/ +110 +1\0 +1n0 +1;1 +1M1 +1x1 +1,2 +1W2 +1i2 +163 +1H3 +1s3 +1'4 +1R4 +1d4 +115 +1C5 +1n5 +1"6 +1? +1c" +1!$ +1=% +1Y& +19' +1U( +1q) +1/+ +0)- +0E. +0a/ +0}0 +0<2 +0X3 +0t4 +0} +0R" +#20030000 +0V# +0r$ +00& +1^, +1z- +18/ +1T0 +0p1 +0.3 +0J4 +0f5 +0P +0w" +05$ +0Q% +0m& +1=- +1Y. +1u/ +131 +0O2 +0k3 +0)5 +02" +1o# +1-% +1I& +1i +0e' +0#) +0?* +0[+ +1h2 +1&4 +1B5 +1K" +0V +0h +08" +0J" +0}" +01# +0\# +0n# +0;$ +0M$ +0x$ +0,% +0W% +0i% +06& +0H& +0s& +0'' +0R' +0d' +01( +0C( +0n( +0") +0M) +0_) +0,* +0>* +0i* +0{* +0H+ +0Z+ +0', +09, +0d, +0v, +0C- +0U- +0". +04. +0_. +0q. +0>/ +0P/ +0{/ +0/0 +0Z0 +0l0 +091 +0K1 +0v1 +0*2 +0U2 +0g2 +043 +0F3 +0q3 +0%4 +0P4 +0b4 +0/5 +0A5 +0l5 +0~5 +0C +1y +0_" +0{# +09% +0U& +1q' +1/) +1K* +1g+ +0%- +0A. +0]/ +0y0 +172 +1S3 +1o4 +b11000z1 A# +b11000z1 N# +b11000z1 ]$ +b11000z1 j$ +b11000z1 y% +b11000z1 (& +b101001z0 I, +b101001z0 V, +b101001z1 e- +b101001z1 r- +b101001z1 #/ +b101001z1 0/ +b101001z1 ?0 +b101001z1 L0 +b100100z0 [1 +b100100z0 h1 +b100100z0 w2 +b100100z0 &3 +b100100z0 54 +b100100z0 B4 +b100100z0 Q5 +b100100z0 ^5 +b11010z1 ; +b11010z1 H +b100100z1 b" +b100100z1 o" +0e" +b100100z1 ~# +b100100z1 -$ +0#$ +b100100z1 <% +b100100z1 I% +0?% +b100100z1 X& +b100100z1 e& +0[& +1:' +1V( +1r) +10+ +b101001z1 (- +b101001z1 5- +1+- +b101001z1 D. +b101001z1 Q. +1G. +b101001z1 `/ +b101001z1 m/ +1c/ +b101001z1 |0 +b101001z1 +1 +1!1 +b11000z0 :2 +b11000z0 G2 +0=2 +b11000z0 V3 +b11000z0 c3 +0Y3 +b11000z0 r4 +b11000z0 !5 +0u4 +b11000z0 { +b11000z0 *" +0~ +1T" +#20040000 +1]" +1<# +1X$ +1t% +12' +1h" +1&$ +1B% +1^& +0=' +0Y( +0u) +03+ +0.- +0J. +0f/ +0$1 +1@2 +1\3 +1x4 +1#" +0W" +1g' +1%) +1A* +1]+ +1Y +14# +1P$ +1l% +1*' +1y, +1X- +17. +1t. +1S/ +120 +1o0 +1N1 +1-2 +1I3 +1e4 +1#6 +18 +1^" +1z# +18% +1T& +b1111111111111110000000010101011 ) +0>2 +0Z3 +0v4 +0!" +#20050000 +0N +0g" +0F# +0b$ +0~% +0<' +1u# +13% +1O& +1o +0k' +0)) +0E* +0a+ +1n2 +1,4 +1H5 +1Q" +0W +0i +09" +0K" +0~" +0]# +0o# +0<$ +0y$ +0-% +0X% +07& +0I& +0t& +0D( +0`) +0|* +0:, +0w1 +0V2 +0h2 +053 +0r3 +0&4 +0Q4 +005 +0B5 +0m5 +0B +b11010z0 ; +b11010z0 H +1} +0c" +0!$ +0=% +0Y& +1v' +14) +1P* +1l+ +0*- +0F. +0b/ +0~0 +1<2 +1X3 +1t4 +0j" +0($ +0D% +0`& +1?' +1[( +1w) +15+ +#20060000 +0r2 +004 +0L5 +1f" +1E# +1a$ +1}% +1;' +1k" +01- +0M. +0i/ +0'1 +1C2 +1_3 +1{4 +1&" +0Y" +1r" +10$ +1L% +1h& +1Y, +18- +1u- +1T. +13/ +1p/ +1O0 +1.1 +1k1 +1)3 +1E4 +1a5 +062 +0R3 +0n4 +b1010101111111110000000010101011 ) +0! +1~ +1e" +1#$ +1?% +1[& +1w' +15) +1Q* +1m+ +0+- +0G. +0c/ +0!1 +1=2 +1Y3 +1u4 +#20070000 +0X$ +0t% +02' +03$ +0O% +0k& +1J' +1f( +1$* +1@+ +1|2 +1:4 +1V5 +0#" +0h" +0&$ +0B% +0^& +0z' +08) +0T* +0p+ +1.- +1J. +1f/ +1$1 +0@2 +0\3 +0x4 +0I# +0e$ +0#& +0?' +1Q# +1m$ +1+& +1K +1J2 +1f3 +1$5 +1-" +0] +0o +0?" +0Q" +0&# +0c# +0u# +0B$ +0!% +03% +0^% +0=& +0O& +0z& +0J( +0f) +0$+ +0@, +0}1 +0\2 +0n2 +0;3 +0x3 +0,4 +0W4 +065 +0H5 +0s5 +1!" +0z# +08% +0T& +b1010101111111110000000000000011 ) +0,- +0H. +0d/ +0"1 +1>2 +1Z3 +1v4 +0'$ +b100100z0 ~# +b100100z0 -$ +0C% +b100100z0 <% +b100100z0 I% +0_& +b100100z0 X& +b100100z0 e& +1>' +b100100z1 7' +b100100z1 D' +1Z( +b100100z1 S( +b100100z1 `( +1v) +b100100z1 o) +b100100z1 |) +14+ +b100100z1 -+ +b100100z1 :+ +#20080000 +1y# +17% +1S& +1o' +0;- +0W. +0s/ +011 +1M2 +1i3 +1'5 +10" +1b$ +1~% +1<' +0{2 +094 +0U5 +1=# +1Y$ +1u% +13' +b1010101111111110000000101010111 ) +0/- +b101001z0 (- +b101001z0 5- +0K. +b101001z0 D. +b101001z0 Q. +0g/ +b101001z0 `/ +b101001z0 m/ +0%1 +b101001z0 |0 +b101001z0 +1 +1A2 +b11000z1 :2 +b11000z1 G2 +1]3 +b11000z1 V3 +b11000z1 c3 +1y4 +b11000z1 r4 +b11000z1 !5 +1$" +b11000z1 { +b11000z1 *" +0x +1($ +1D% +1`& +1|' +1:) +1V* +1r+ +#20090000 +0T# +0p$ +0.& +0J' +0`- +0|. +0:0 +0V1 +1r2 +104 +1L5 +0%$ +0A% +0]& +0y' +0a$ +0}% +0;' +1!3 +1=4 +1Y5 +0&" +0k" +11- +1M. +1i/ +1'1 +0C2 +0_3 +0{4 +0H# +b11000z0 A# +b11000z0 N# +0d$ +b11000z0 ]$ +b11000z0 j$ +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +0K +0," +0-" +0q" +0P# +0Q# +0/$ +0l$ +0m$ +0K% +0*& +0+& +0g& +0&( +0B) +0^* +0z+ +0j1 +0I2 +0J2 +0(3 +0e3 +0f3 +0D4 +0#5 +0$5 +0`5 +1! +0$- +0@. +0\/ +0x0 +162 +1R3 +1n4 +b1111111010101010000000101010111 ) +1" +#20100000 +0Q3 +0m4 +0t +13$ +1O% +1k& +1)( +1E) +1a* +1}+ +1j- +1(/ +1D0 +1`1 +0|2 +0:4 +0V5 +1$$ +1@% +1\& +1x' +1e$ +1#& +1?' +0s2 +014 +0M5 +b101011010101010000000101010111 ) +1'$ +b100100z1 ~# +b100100z1 -$ +1C% +b100100z1 <% +b100100z1 I% +1_& +b100100z1 X& +b100100z1 e& +1{' +b11000z1 t' +b11000z1 #( +19) +b11000z1 2) +b11000z1 ?) +1U* +b11000z1 N* +b11000z1 [* +1q+ +b11000z1 j+ +b11000z1 w+ +#20110000 +07% +0S& +0o' +1,3 +1H4 +1d5 +00" +0u" +1;- +1W. +1s/ +111 +0M2 +0i3 +0'5 +1[3 +1w4 +1"" +1V" +0n- +0i- +0,/ +0'/ +0H0 +0C0 +0_1 +1{2 +194 +1U5 +0($ +0D% +0`& +0|' +0Y$ +0u% +03' +b101011010101010000000000000111 ) +1~2 +b100100z1 w2 +b100100z1 &3 +1<4 +b100100z1 54 +b100100z1 B4 +1X5 +b100100z1 Q5 +b100100z1 ^5 +0$" +b11000z0 { +b11000z0 *" +0i" +b100100z0 b" +b100100z0 o" +1/- +b101001z1 (- +b101001z1 5- +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +0A2 +b11000z0 :2 +b11000z0 G2 +0]3 +b11000z0 V3 +b11000z0 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +0(" +0m" +0L# +0+$ +0h$ +0G% +0&& +0c& +0f1 +0E2 +0$3 +0a3 +0@4 +0}4 +0\5 +#20120000 +1X$ +1t% +12' +1N( +1p$ +1.& +1J' +1A% +1]& +1y' +0Z3 +0v4 +0!" +0U" +1c1 +0!3 +0=4 +0Y5 +1z# +18% +1T& +1p' +b101011010101010000001010101111 ) +1d$ +b11000z1 ]$ +b11000z1 j$ +1"& +b11000z1 y% +b11000z1 (& +1>' +b100100z1 7' +b100100z1 D' +#20130000 +0x- +06/ +0R0 +052 +1Q3 +1m4 +1t +03$ +0O% +0k& +0)( +0b$ +0~% +0<' +0X( +0@% +0\& +0x' +1^3 +1z4 +1%" +1X" +0l- +b101001z0 e- +b101001z0 r- +0*/ +b101001z0 #/ +b101001z0 0/ +0F0 +b101001z0 ?0 +b101001z0 L0 +0W1 +1s2 +114 +1M5 +b1111110010101010000001010101111 ) +0'$ +b100100z0 ~# +b100100z0 -$ +0C% +b100100z0 <% +b100100z0 I% +0_& +b100100z0 X& +b100100z0 e& +0{' +b11000z0 t' +b11000z0 #( +0s +0\" +0;# +0x# +0W$ +06% +0s% +0R& +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +b1 # +b1 / +#20140000 +004 +0L5 +1n1 +0,3 +0H4 +0d5 +1?2 +0[3 +0w4 +0"" +0V" +b111111111111111111111111111111zz + +1a$ +1}% +1;' +1W( +1D% +1`& +1|' +0R3 +0n4 +b1010110010101010000001010101111 ) +0! +0p +1b1 +b100100z1 [1 +b100100z1 h1 +0~2 +b100100z0 w2 +b100100z0 &3 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#20150000 +0t% +02' +0N( +1i3 +1'5 +10" +1:4 +1V5 +0>2 +1Z3 +1v4 +1!" +1U" +0e$ +0#& +0?' +0[( +08% +0T& +0p' +b1010110010101010000000000001111 ) +1]3 +b11000z1 V3 +b11000z1 c3 +1y4 +b11000z1 r4 +b11000z1 !5 +1$" +b11000z1 { +b11000z1 *" +1x +#20160000 +17% +1S& +1o' +1-) +1O% +1k& +1)( +1~% +1<' +1X( +094 +0U5 +1B2 +0^3 +0z4 +0%" +0X" +1Y$ +1u% +13' +1O( +b1010110010101010000010101011111 ) +1C% +b100100z1 <% +b100100z1 I% +1_& +b100100z1 X& +b100100z1 e& +1{' +b11000z1 t' +b11000z1 #( +#20170000 +0r2 +104 +1L5 +0p$ +0.& +0J' +0f( +0A% +0]& +0y' +07) +0}% +0;' +0W( +1=4 +1Y5 +062 +1R3 +1n4 +b1111100010101010000010101011111 ) +1! +1p +0d$ +b11000z0 ]$ +b11000z0 j$ +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +0Z( +b100100z0 S( +b100100z0 `( +#20180000 +0m4 +0t +1M2 +0i3 +0'5 +00" +0Q +1|2 +0:4 +0V5 +1@% +1\& +1x' +16) +1#& +1?' +1[( +014 +0M5 +b101100010101010000010101011111 ) +1A2 +b11000z1 :2 +b11000z1 G2 +0]3 +b11000z0 V3 +b11000z0 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +0$" +b11000z0 { +b11000z0 *" +0x +b11000z0 ; +b11000z0 H +00 +#20190000 +0S& +0o' +0-) +1H4 +1d5 +1w4 +1"" +1V" +0{2 +194 +1U5 +0D% +0`& +0|' +0:) +0u% +03' +0O( +b101100010101010000000000011111 ) +1<4 +b100100z1 54 +b100100z1 B4 +1X5 +b100100z1 Q5 +b100100z1 ^5 +#20200000 +1t% +12' +1N( +1j) +1.& +1J' +1f( +1]& +1y' +17) +0v4 +0!" +0U" +0Y +1!3 +0=4 +0Y5 +18% +1T& +1p' +1.) +b101100010101010000101010111111 ) +1"& +b11000z1 y% +b11000z1 (& +1>' +b100100z1 7' +b100100z1 D' +1Z( +b100100z1 S( +b100100z1 `( +#20210000 +0Q3 +1m4 +1t +0O% +0k& +0)( +0E) +1Q +0~% +0<' +0X( +0t) +0\& +0x' +06) +1z4 +1%" +1X" +0s2 +114 +1M5 +b1111000010101010000101010111111 ) +0C% +b100100z0 <% +b100100z0 I% +0_& +b100100z0 X& +b100100z0 e& +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +b11010z0 ; +b11010z0 H +10 +#20220000 +0L5 +1,3 +0H4 +0d5 +1[3 +0w4 +0"" +0V" +1}% +1;' +1W( +1s) +1`& +1|' +1:) +0n4 +b1011000010101010000101010111111 ) +0! +0p +0J +1~2 +b100100z1 w2 +b100100z1 &3 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#20230000 +02' +0N( +0j) +1'5 +10" +1V5 +0Z3 +1v4 +1!" +1U" +1Y +0#& +0?' +0[( +0w) +0T& +0p' +0.) +b1011000010101010000000000111111 ) +1y4 +b11000z1 r4 +b11000z1 !5 +1$" +b11000z1 { +b11000z1 *" +1x +#20240000 +1S& +1o' +1-) +1I* +1k& +1)( +1E) +1<' +1X( +1t) +0U5 +1^3 +0z4 +0%" +0X" +1u% +13' +1O( +1k) +b1011000010101010001010101111111 ) +1_& +b100100z1 X& +b100100z1 e& +1{' +b11000z1 t' +b11000z1 #( +19) +b11000z1 2) +b11000z1 ?) +0F +#20250000 +004 +1L5 +0.& +0J' +0f( +0$* +0]& +0y' +07) +0S* +0;' +0W( +0s) +1Y5 +0R3 +1n4 +b1110000010101010001010101111111 ) +1! +1p +1J +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +0Z( +b100100z0 S( +b100100z0 `( +0v) +b100100z0 o) +b100100z0 |) +#20260000 +0t +1i3 +0'5 +00" +0Q +1:4 +0V5 +1\& +1x' +16) +1R* +1?' +1[( +1w) +0M5 +b110000010101010001010101111111 ) +1]3 +b11000z1 V3 +b11000z1 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +0$" +b11000z0 { +b11000z0 *" +0x +07 +b0 # +b0 / +b11000z0 ; +b11000z0 H +00 +#20270000 +0o' +0-) +0I* +1d5 +1"" +1V" +b1 1 +094 +1U5 +0`& +0|' +0:) +0V* +03' +0O( +0k) +b110000010101010000000001111111 ) +1X5 +b100100z1 Q5 +b100100z1 ^5 +1F +#20280000 +12' +1N( +1j) +1(+ +1J' +1f( +1$* +1y' +17) +1S* +0!" +0U" +0Y +1=4 +0Y5 +1T& +1p' +1.) +1J* +b110000010101010010101011111111 ) +1>' +b100100z1 7' +b100100z1 D' +1Z( +b100100z1 S( +b100100z1 `( +1v) +b100100z1 o) +b100100z1 |) +#20290000 +0m4 +1t +0k& +0)( +0E) +0a* +1Q +0<' +0X( +0t) +02+ +b11 1 +0x' +06) +0R* +1%" +1X" +014 +1M5 +b1100000010101010010101011111111 ) +0_& +b100100z0 X& +b100100z0 e& +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +17 +b1 # +b1 / +b11010z0 ; +b11010z0 H +10 +#20300000 +1H4 +0d5 +1w4 +0"" +0V" +b10 1 +1;' +1W( +1s) +11+ +1|' +1:) +1V* +0! +0p +0J +1<4 +b100100z1 54 +b100100z1 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#20310000 +0N( +0j) +0(+ +10" +b110 1 +0v4 +1!" +1U" +1Y +0?' +0[( +0w) +05+ +0p' +0.) +0J* +b1100000010101010000000011111111 ) +1$" +b11000z1 { +b11000z1 *" +1x +#20320000 +1o' +1-) +1I* +1e+ +1)( +1E) +1a* +1X( +1t) +12+ +b100 1 +1z4 +0%" +0X" +13' +1O( +1k) +1)+ +b1100000010101010101010111111111 ) +1{' +b11000z1 t' +b11000z1 #( +19) +b11000z1 2) +b11000z1 ?) +1U* +b11000z1 N* +b11000z1 [* +0F +#20330000 +0L5 +0J' +0f( +0$* +0@+ +0y' +07) +0S* +0o+ +b1100 1 +0W( +0s) +01+ +0n4 +b1000000010101010101010111111111 ) +1! +1p +1J +0>' +b100100z0 7' +b100100z0 D' +0Z( +b100100z0 S( +b100100z0 `( +0v) +b100100z0 o) +b100100z0 |) +04+ +b100100z0 -+ +b100100z0 :+ +#20340000 +1'5 +00" +0Q +1V5 +b1000 1 +1x' +16) +1R* +1n+ +1[( +1w) +15+ +1y4 +b11000z1 r4 +b11000z1 !5 +0$" +b11000z0 { +b11000z0 *" +0x +07 +b0 # +b0 / +b11000z0 ; +b11000z0 H +00 +#20350000 +0-) +0I* +0e+ +b11001 1 +0U5 +0|' +0:) +0V* +0r+ +0O( +0k) +0)+ +b1000000010101010000000111111111 ) +1F +#20360000 +1N( +1j) +1(+ +1D, +1f( +1$* +1@+ +17) +1S* +1o+ +b10001 1 +0Y +1Y5 +1p' +1.) +1J* +1f+ +b1000000010101011010101111111111 ) +1Z( +b100100z1 S( +b100100z1 `( +1v) +b100100z1 o) +b100100z1 |) +14+ +b100100z1 -+ +b100100z1 :+ +0" +#20370000 +0t +0)( +0E) +0a* +0}+ +0X( +0t) +02+ +0N, +b110011 1 +06) +0R* +0n+ +0M5 +b10101011010101111111111 ) +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +17 +b1 # +b1 / +#20380000 +1d5 +1"" +1V" +b100010 1 +1W( +1s) +11+ +1R, +1M, +1:) +1V* +1r+ +0J +1X5 +b100100z1 Q5 +b100100z1 ^5 +#20390000 +0j) +0(+ +0D, +b1100110 1 +0!" +0U" +0[( +0w) +05+ +0.) +0J* +0f+ +b10101010000001111111111 ) +#20400000 +1-) +1I* +1e+ +1\, +1E) +1a* +1}+ +1t) +12+ +1N, +b1000100 1 +1%" +1X" +1O( +1k) +1)+ +b10101010101011111111111 ) +1P, +b101001z1 I, +b101001z1 V, +19) +b11000z1 2) +b11000z1 ?) +1U* +b11000z1 N* +b11000z1 [* +1q+ +b11000z1 j+ +b11000z1 w+ +0F +1" +#20410000 +0f( +0$* +0@+ +07) +0S* +0o+ +b11001100 1 +0s) +01+ +0R, +0M, +0! +0p +0Z( +b100100z0 S( +b100100z0 `( +0v) +b100100z0 o) +b100100z0 |) +04+ +b100100z0 -+ +b100100z0 :+ +#20420000 +10" +b10001000 1 +16) +1R* +1n+ +1w) +15+ +1$" +b11000z1 { +b11000z1 *" +1x +07 +b0 # +b0 / +#20430000 +0I* +0e+ +0\, +b110011001 1 +0:) +0V* +0r+ +0k) +0)+ +b10101010000011111111111 ) +0P, +b101001z0 I, +b101001z0 V, +#20440000 +1j) +1(+ +1D, +1$* +1@+ +1S* +1o+ +b100010001 1 +1.) +1J* +1f+ +b10101011010111111111111 ) +1v) +b100100z1 o) +b100100z1 |) +14+ +b100100z1 -+ +b100100z1 :+ +0" +#20450000 +0E) +0a* +0}+ +0t) +02+ +0N, +b1100110011 1 +0R* +0n+ +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +#20460000 +b1000100011 1 +1s) +11+ +1R, +1M, +1V* +1r+ +#20470000 +0(+ +0D, +1Q +b11001100111 1 +0w) +05+ +0J* +0f+ +b10101010000111111111111 ) +b11010z0 ; +b11010z0 H +10 +#20480000 +1I* +1e+ +1\, +1a* +1}+ +12+ +1N, +b10001000111 1 +1k) +1)+ +b10101010101111111111111 ) +1P, +b101001z1 I, +b101001z1 V, +1U* +b11000z1 N* +b11000z1 [* +1q+ +b11000z1 j+ +b11000z1 w+ +#20490000 +0$* +0@+ +0S* +0o+ +b110011001111 1 +01+ +0R, +0M, +1Y +0v) +b100100z0 o) +b100100z0 |) +04+ +b100100z0 -+ +b100100z0 :+ +#20500000 +b100010001111 1 +1R* +1n+ +15+ +#20510000 +0e+ +0\, +b1100110011111 1 +0V* +0r+ +0)+ +b10101010001111111111111 ) +0P, +b101001z0 I, +b101001z0 V, +1J +#20520000 +1(+ +1D, +1@+ +1o+ +b1000100011111 1 +1J* +1f+ +b10101011011111111111111 ) +14+ +b100100z1 -+ +b100100z1 :+ +#20530000 +0a* +0}+ +02+ +0N, +b11001100111111 1 +0n+ +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +1F +#20540000 +b10001000111111 1 +11+ +1R, +1M, +1r+ +#20550000 +0D, +b110011001111111 1 +05+ +0f+ +b10101010011111111111111 ) +17 +b1 # +b1 / +#20560000 +1e+ +1\, +1}+ +1N, +b100010001111110 1 +1)+ +b10101010111111111111111 ) +1P, +b101001z1 I, +b101001z1 V, +1q+ +b11000z1 j+ +b11000z1 w+ +#20570000 +0@+ +0o+ +b1100110011111110 1 +0R, +0M, +04+ +b100100z0 -+ +b100100z0 :+ +#20580000 +b1000100011111100 1 +1n+ +#20590000 +0\, +b11001100111111100 1 +0r+ +0P, +b101001z0 I, +b101001z0 V, +#20600000 +1D, +b10001000111111000 1 +1f+ +b10101011111111111111111 ) +#20610000 +0}+ +0N, +b110011001111111000 1 +0q+ +b11000z0 j+ +b11000z0 w+ +#20620000 +b100010001111110000 1 +1R, +1M, +#20630000 +b1100110011111110000 1 +#20640000 +1\, +b1000100011111100000 1 +1P, +b101001z1 I, +b101001z1 V, +#20650000 +b11001100111111100000 1 +#20660000 +b10001000111111000000 1 +#20670000 +b110011001111111000000 1 +#20680000 +b100010001111110000000 1 +#20690000 +b1100110011111110000000 1 +#20700000 +b1000100011111100000000 1 +#20710000 +b11001100111111100000000 1 +#20720000 +b10001000111111000000000 1 +#20730000 +b110011001111111000000000 1 +#20740000 +b100010001111110000000000 1 +#20750000 +b1100110011111110000000000 1 +#20760000 +b1000100011111100000000000 1 +#20770000 +b11001100111111100000000000 1 +#20780000 +b10001000111111000000000000 1 +#20790000 +b110011001111111000000000000 1 +#20800000 +b100010001111110000000000000 1 +#20810000 +b1100110011111110000000000000 1 +#20820000 +b1000100011111100000000000000 1 +#20830000 +b11001100111111100000000000000 1 +#20840000 +b10001000111111000000000000000 1 +#20850000 +b110011001111111000000000000000 1 +#20860000 +b100010001111110000000000000000 1 +#20870000 +1$ +b1100110011111110000000000000000 1 +#20880000 +b1000100011111100000000000000000 1 +#20890000 +b1001100111111100000000000000000 1 +#20900000 +0$ +b1000111111000000000000000000 1 +#20910000 +b11001111111000000000000000000 1 +#20920000 +b10001111110000000000000000000 1 +#20930000 +b110011111110000000000000000000 1 +#20940000 +b100011111100000000000000000000 1 +#20950000 +1$ +b1100111111100000000000000000000 1 +#20960000 +b1000111111000000000000000000000 1 +#20970000 +b1001111111000000000000000000000 1 +#20980000 +0$ +b1111110000000000000000000000 1 +#20990000 +b11111110000000000000000000000 1 +#21000000 +b11111100000000000000000000000 1 +#21010000 +b111111100000000000000000000000 1 +#21020000 +b111111000000000000000000000000 1 +#21030000 +1$ +b1111111000000000000000000000000 1 +#21040000 +b1111110000000000000000000000000 1 +#21060000 +b1111100000000000000000000000000 1 +#21080000 +b1111000000000000000000000000000 1 +#21100000 +b1110000000000000000000000000000 1 +#21120000 +b1100000000000000000000000000000 1 +#21140000 +b1000000000000000000000000000000 1 +#21160000 +0$ +b0 1 +#22000000 +1B, +0!- +1^- +0=. +1z. +0Y/ +180 +0u0 +b1010101010101010101010110101010 & +b1010101010101010101010110101010 . +#22010000 +0o, +1O- +0-. +1k. +0I/ +1)0 +0e0 +1E1 +b100001z1 I, +b100001z1 V, +b111001z1 (- +b111001z1 5- +b100001z0 e- +b100001z0 r- +b111001z1 D. +b111001z1 Q. +b100001z0 #/ +b100001z0 0/ +b111001z1 `/ +b111001z1 m/ +b100001z0 ?0 +b100001z0 L0 +b111001z1 |0 +b111001z1 +1 +#22020000 +1n, +0P- +1,. +0l. +1H/ +0*0 +1d0 +0F1 +b100101z1 I, +b100101z1 V, +b11001z1 (- +b11001z1 5- +b100101z0 e- +b100101z0 r- +b11001z1 D. +b11001z1 Q. +b100101z0 #/ +b100101z0 0/ +b11001z1 `/ +b11001z1 m/ +b100101z0 ?0 +b100101z0 L0 +b11001z1 |0 +b11001z1 +1 +#22030000 +0^, +0=- +0z- +0Y. +08/ +0u/ +0T0 +031 +0F, +b100100z1 I, +b100100z1 V, +1%- +b11000z1 (- +b11000z1 5- +0b- +b100100z0 e- +b100100z0 r- +1A. +b11000z1 D. +b11000z1 Q. +0~. +b100100z0 #/ +b100100z0 0/ +1]/ +b11000z1 `/ +b11000z1 m/ +0<0 +b100100z0 ?0 +b100100z0 L0 +1y0 +b11000z1 |0 +b11000z1 +1 +#22040000 +0X- +0t. +020 +0N1 +#22050000 +0J, +1*- +0f- +1F. +0$/ +1b/ +0@0 +1~0 +#22060000 +08- +0T. +0p/ +0.1 +1L, +1+- +1h- +1G. +1&/ +1c/ +1B0 +1!1 +#22070000 +0?. +0[/ +0w0 +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +1,- +0a- +1H. +0}. +1d/ +0;0 +b11111111111111111 ) +1"1 +#22080000 +1I. +1e/ +1#1 +1m- +1+/ +1G0 +#22090000 +1`- +1|. +1:0 +1V1 +0H. +0d/ +0"1 +0R, +01- +0M. +0i/ +0'1 +1$- +1@. +1\/ +1x0 +b101010111111111111111111 ) +#22100000 +1x- +16/ +1R0 +0j- +0(/ +0D0 +0`1 +1L. +1h/ +1&1 +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +#22110000 +0|. +0:0 +0V1 +0\, +0;- +1i- +1'/ +1C0 +1_1 +0@. +0\/ +0x0 +b111111111111111111 ) +0P, +b100100z0 I, +b100100z0 V, +0/- +b11000z0 (- +b11000z0 5- +#22120000 +1(/ +1D0 +1`1 +0m- +0+/ +0G0 +0c1 +#22130000 +1?. +1[/ +1w0 +152 +0'/ +0C0 +0_1 +1a- +1}. +1;0 +1W1 +b1010101111111111111111111 ) +#22140000 +0x- +06/ +0R0 +0n1 +0I. +0e/ +0#1 +0?2 +1+/ +1G0 +1c1 +0l- +b100100z0 e- +b100100z0 r- +0*/ +b100100z0 #/ +b100100z0 0/ +0F0 +b100100z0 ?0 +b100100z0 L0 +0b1 +b100100z0 [1 +b100100z0 h1 +#22150000 +0[/ +0w0 +052 +1H. +1d/ +1"1 +1>2 +0}. +0;0 +0W1 +b1111111111111111111 ) +#22160000 +16/ +1R0 +1n1 +1e/ +1#1 +1?2 +0L. +0h/ +0&1 +0B2 +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1b1 +b100100z1 [1 +b100100z1 h1 +#22170000 +1|. +1:0 +1V1 +1r2 +0d/ +0"1 +0>2 +1@. +1\/ +1x0 +162 +b10101011111111111111111111 ) +#22180000 +0W. +0s/ +011 +0M2 +0(/ +0D0 +0`1 +0|2 +1h/ +1&1 +1B2 +0K. +b11000z0 D. +b11000z0 Q. +0g/ +b11000z0 `/ +b11000z0 m/ +0%1 +b11000z0 |0 +b11000z0 +1 +0A2 +b11000z0 :2 +b11000z0 G2 +#22190000 +0:0 +0V1 +0r2 +1'/ +1C0 +1_1 +1{2 +0\/ +0x0 +062 +b11111111111111111111 ) +#22200000 +1s/ +111 +1M2 +1D0 +1`1 +1|2 +0+/ +0G0 +0c1 +0!3 +1g/ +b11000z1 `/ +b11000z1 m/ +1%1 +b11000z1 |0 +b11000z1 +1 +1A2 +b11000z1 :2 +b11000z1 G2 +#22210000 +1[/ +1w0 +152 +1Q3 +0C0 +0_1 +0{2 +1}. +1;0 +1W1 +1s2 +b101010111111111111111111111 ) +#22220000 +06/ +0R0 +0n1 +0,3 +0e/ +0#1 +0?2 +0[3 +1G0 +1c1 +1!3 +0*/ +b100100z0 #/ +b100100z0 0/ +0F0 +b100100z0 ?0 +b100100z0 L0 +0b1 +b100100z0 [1 +b100100z0 h1 +0~2 +b100100z0 w2 +b100100z0 &3 +#22230000 +0w0 +052 +0Q3 +1d/ +1"1 +1>2 +1Z3 +0;0 +0W1 +0s2 +b111111111111111111111 ) +#22240000 +1R0 +1n1 +1,3 +1#1 +1?2 +1[3 +0h/ +0&1 +0B2 +0^3 +1F0 +b100100z1 ?0 +b100100z1 L0 +1b1 +b100100z1 [1 +b100100z1 h1 +1~2 +b100100z1 w2 +b100100z1 &3 +#22250000 +1:0 +1V1 +1r2 +104 +0"1 +0>2 +0Z3 +1\/ +1x0 +162 +1R3 +b1010101111111111111111111111 ) +#22260000 +0s/ +011 +0M2 +0i3 +0D0 +0`1 +0|2 +0:4 +1&1 +1B2 +1^3 +0g/ +b11000z0 `/ +b11000z0 m/ +0%1 +b11000z0 |0 +b11000z0 +1 +0A2 +b11000z0 :2 +b11000z0 G2 +0]3 +b11000z0 V3 +b11000z0 c3 +#22270000 +0V1 +0r2 +004 +1C0 +1_1 +1{2 +194 +0x0 +062 +0R3 +b1111111111111111111111 ) +#22280000 +111 +1M2 +1i3 +1`1 +1|2 +1:4 +0G0 +0c1 +0!3 +0=4 +1%1 +b11000z1 |0 +b11000z1 +1 +1A2 +b11000z1 :2 +b11000z1 G2 +1]3 +b11000z1 V3 +b11000z1 c3 +#22290000 +1w0 +152 +1Q3 +1m4 +0_1 +0{2 +094 +1;0 +1W1 +1s2 +114 +b10101011111111111111111111111 ) +#22300000 +0R0 +0n1 +0,3 +0H4 +0#1 +0?2 +0[3 +0w4 +1c1 +1!3 +1=4 +0F0 +b100100z0 ?0 +b100100z0 L0 +0b1 +b100100z0 [1 +b100100z0 h1 +0~2 +b100100z0 w2 +b100100z0 &3 +0<4 +b100100z0 54 +b100100z0 B4 +#22310000 +052 +0Q3 +0m4 +1"1 +1>2 +1Z3 +1v4 +0W1 +0s2 +014 +b11111111111111111111111 ) +#22320000 +1n1 +1,3 +1H4 +1?2 +1[3 +1w4 +0&1 +0B2 +0^3 +0z4 +1b1 +b100100z1 [1 +b100100z1 h1 +1~2 +b100100z1 w2 +b100100z1 &3 +1<4 +b100100z1 54 +b100100z1 B4 +#22330000 +1V1 +1r2 +104 +1L5 +0>2 +0Z3 +0v4 +1x0 +162 +1R3 +1n4 +b101010111111111111111111111111 ) +#22340000 +011 +0M2 +0i3 +0'5 +0`1 +0|2 +0:4 +0V5 +1B2 +1^3 +1z4 +0%1 +b11000z0 |0 +b11000z0 +1 +0A2 +b11000z0 :2 +b11000z0 G2 +0]3 +b11000z0 V3 +b11000z0 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +#22350000 +0r2 +004 +0L5 +1_1 +1{2 +194 +1U5 +062 +0R3 +0n4 +b111111111111111111111111 ) +#22360000 +1M2 +1i3 +1'5 +1|2 +1:4 +1V5 +0c1 +0!3 +0=4 +0Y5 +1A2 +b11000z1 :2 +b11000z1 G2 +1]3 +b11000z1 V3 +b11000z1 c3 +1y4 +b11000z1 r4 +b11000z1 !5 +#22370000 +152 +1Q3 +1m4 +1t +0{2 +094 +0U5 +1W1 +1s2 +114 +1M5 +b1010101111111111111111111111111 ) +#22380000 +0n1 +0,3 +0H4 +0d5 +0?2 +0[3 +0w4 +0"" +0V" +1!3 +1=4 +1Y5 +0b1 +b100100z0 [1 +b100100z0 h1 +0~2 +b100100z0 w2 +b100100z0 &3 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#22390000 +0Q3 +0m4 +0t +1>2 +1Z3 +1v4 +1!" +1U" +0s2 +014 +0M5 +b1111111111111111111111111 ) +#22400000 +1,3 +1H4 +1d5 +1[3 +1w4 +1"" +1V" +0B2 +0^3 +0z4 +0%" +0X" +1~2 +b100100z1 w2 +b100100z1 &3 +1<4 +b100100z1 54 +b100100z1 B4 +1X5 +b100100z1 Q5 +b100100z1 ^5 +#22410000 +1r2 +104 +1L5 +0Z3 +0v4 +0!" +0U" +162 +1R3 +1n4 +b101011111111111111111111111111 ) +1! +1p +#22420000 +0M2 +0i3 +0'5 +00" +0|2 +0:4 +0V5 +1^3 +1z4 +1%" +1X" +0A2 +b11000z0 :2 +b11000z0 G2 +0]3 +b11000z0 V3 +b11000z0 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +0$" +b11000z0 { +b11000z0 *" +0x +#22430000 +004 +0L5 +1{2 +194 +1U5 +0R3 +0n4 +b11111111111111111111111111 ) +0! +0p +#22440000 +1i3 +1'5 +10" +1:4 +1V5 +0!3 +0=4 +0Y5 +1]3 +b11000z1 V3 +b11000z1 c3 +1y4 +b11000z1 r4 +b11000z1 !5 +1$" +b11000z1 { +b11000z1 *" +1x +#22450000 +1Q3 +1m4 +1t +094 +0U5 +1s2 +114 +1M5 +b1010111111111111111111111111111 ) +#22460000 +0,3 +0H4 +0d5 +0[3 +0w4 +0"" +0V" +1=4 +1Y5 +0~2 +b100100z0 w2 +b100100z0 &3 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#22470000 +0m4 +0t +1Z3 +1v4 +1!" +1U" +014 +0M5 +b111111111111111111111111111 ) +#22480000 +1H4 +1d5 +1w4 +1"" +1V" +0^3 +0z4 +0%" +0X" +1<4 +b100100z1 54 +b100100z1 B4 +1X5 +b100100z1 Q5 +b100100z1 ^5 +#22490000 +104 +1L5 +0v4 +0!" +0U" +1R3 +1n4 +b101111111111111111111111111111 ) +1! +1p +#22500000 +0i3 +0'5 +00" +0:4 +0V5 +1z4 +1%" +1X" +0]3 +b11000z0 V3 +b11000z0 c3 +0y4 +b11000z0 r4 +b11000z0 !5 +0$" +b11000z0 { +b11000z0 *" +0x +#22510000 +0L5 +194 +1U5 +0n4 +b1111111111111111111111111111 ) +0! +0p +#22520000 +1'5 +10" +1V5 +0=4 +0Y5 +1y4 +b11000z1 r4 +b11000z1 !5 +1$" +b11000z1 { +b11000z1 *" +1x +#22530000 +1m4 +1t +0U5 +114 +1M5 +b1011111111111111111111111111111 ) +#22540000 +0H4 +0d5 +0w4 +0"" +0V" +1Y5 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#22550000 +0t +1v4 +1!" +1U" +0M5 +b11111111111111111111111111111 ) +#22560000 +1d5 +1"" +1V" +0z4 +0%" +0X" +1X5 +b100100z1 Q5 +b100100z1 ^5 +#22570000 +1L5 +0!" +0U" +1n4 +b111111111111111111111111111111 ) +1! +1p +#22580000 +0'5 +00" +0V5 +1%" +1X" +0y4 +b11000z0 r4 +b11000z0 !5 +0$" +b11000z0 { +b11000z0 *" +0x +#22590000 +1U5 +0! +0p +#22600000 +10" +0Y5 +1$" +b11000z1 { +b11000z1 *" +1x +#22610000 +1t +1M5 +b1111111111111111111111111111111 ) +#22620000 +0d5 +0"" +0V" +0X5 +b100100z0 Q5 +b100100z0 ^5 +#22630000 +1!" +1U" +#22640000 +0%" +0X" +1" +#22650000 +1! +1p +#22660000 +00" +0$" +b11000z0 { +b11000z0 *" +0x +#22680000 +0" +#22710000 +0Q +b11000z0 ; +b11000z0 H +00 +#22730000 +0Y +#22750000 +0J +#22770000 +0F +#22790000 +07 +b0 # +b0 / +#22800000 +b1 1 +#22820000 +b11 1 +#22840000 +b111 1 +#22860000 +b1111 1 +#22880000 +b11111 1 +#22900000 +b111111 1 +#22920000 +b1111111 1 +#22940000 +b11111111 1 +#22960000 +b111111111 1 +#22980000 +b1111111111 1 +#23000000 +b11111111111 1 +#23020000 +b111111111111 1 +#23040000 +b1111111111111 1 +#23060000 +b11111111111111 1 +#23080000 +b111111111111111 1 +#23100000 +b1111111111111111 1 +#23120000 +b11111111111111111 1 +#23140000 +b111111111111111111 1 +#23160000 +b1111111111111111111 1 +#23180000 +b11111111111111111111 1 +#23200000 +b111111111111111111111 1 +#23220000 +b1111111111111111111111 1 +#23240000 +b11111111111111111111111 1 +#23260000 +b111111111111111111111111 1 +#23280000 +b1111111111111111111111111 1 +#23300000 +b11111111111111111111111111 1 +#23320000 +b111111111111111111111111111 1 +#23340000 +b1111111111111111111111111111 1 +#23360000 +b11111111111111111111111111111 1 +#23380000 +b111111111111111111111111111111 1 +#23400000 +1$ +b1111111111111111111111111111111 1 +#24000000 +0[" +0w# +05% +0Q& +1m' +1+) +1G* +1c+ +0B, +0^- +0z. +080 +132 +1O3 +1k4 +1r +19# +1U$ +1q% +0/' +0K( +0g) +0%+ +1~, +1<. +1X/ +1t0 +0S1 +0o2 +0-4 +0I5 +15 +b11111111000000001111111100000000 & +b11111111000000001111111100000000 . +b111111110000000011111111 % +b111111110000000011111111 - +#24010000 +1*# +1F$ +1b% +1~& +0=( +0Y) +0u* +03, +1o, +1-. +1I/ +1e0 +0a2 +0}3 +0;5 +0D" +0h# +0&% +0B& +1]' +1y( +17* +1S+ +0O- +0k. +0)0 +0E1 +1#2 +1?3 +1[4 +1w5 +0b +b101100z0 b" +b101100z0 o" +b101100z0 ~# +b101100z0 -$ +b101100z0 <% +b101100z0 I% +b101100z0 X& +b101100z0 e& +b1000z0 t' +b1000z0 #( +b1000z0 2) +b1000z0 ?) +b1000z0 N* +b1000z0 [* +b1000z0 j+ +b1000z0 w+ +b101100z0 I, +b101100z0 V, +b101100z0 e- +b101100z0 r- +b101100z0 #/ +b101100z0 0/ +b101100z0 ?0 +b101100z0 L0 +b1000z0 :2 +b1000z0 G2 +b1000z0 V3 +b1000z0 c3 +b1000z0 r4 +b1000z0 !5 +0w +b1000z0 { +b1000z0 *" +b1000z0 A# +b1000z0 N# +b1000z0 ]$ +b1000z0 j$ +b1000z0 y% +b1000z0 (& +b101100z0 7' +b101100z0 D' +b101100z0 S( +b101100z0 `( +b101100z0 o) +b101100z0 |) +b101100z0 -+ +b101100z0 :+ +b1000z0 (- +b1000z0 5- +b1000z0 D. +b1000z0 Q. +b1000z0 `/ +b1000z0 m/ +b1000z0 |0 +b1000z0 +1 +b101100z0 [1 +b101100z0 h1 +b101100z0 w2 +b101100z0 &3 +b101100z0 54 +b101100z0 B4 +b101100z0 Q5 +b101100z0 ^5 +b1000z0 ; +b1000z0 H +#24020000 +0)# +0E$ +0a% +0}& +1>( +1Z) +1v* +14, +0n, +0,. +0H/ +0d0 +1b2 +1~3 +1<5 +1E" +1i# +1'% +1C& +0\' +0x( +06* +0R+ +1P- +1l. +1*0 +1F1 +0"2 +0>3 +0Z4 +0v5 +1c +b101000z0 b" +b101000z0 o" +b101000z0 ~# +b101000z0 -$ +b101000z0 <% +b101000z0 I% +b101000z0 X& +b101000z0 e& +b101000z0 t' +b101000z0 #( +b101000z0 2) +b101000z0 ?) +b101000z0 N* +b101000z0 [* +b101000z0 j+ +b101000z0 w+ +b101000z0 I, +b101000z0 V, +b101000z0 e- +b101000z0 r- +b101000z0 #/ +b101000z0 0/ +b101000z0 ?0 +b101000z0 L0 +b101000z0 :2 +b101000z0 G2 +b101000z0 V3 +b101000z0 c3 +b101000z0 r4 +b101000z0 !5 +b101000z0 { +b101000z0 *" +b101000z0 A# +b101000z0 N# +b101000z0 ]$ +b101000z0 j$ +b101000z0 y% +b101000z0 (& +b101000z0 7' +b101000z0 D' +b101000z0 S( +b101000z0 `( +b101000z0 o) +b101000z0 |) +b101000z0 -+ +b101000z0 :+ +b101000z0 (- +b101000z0 5- +b101000z0 D. +b101000z0 Q. +b101000z0 `/ +b101000z0 m/ +b101000z0 |0 +b101000z0 +1 +b101000z0 [1 +b101000z0 h1 +b101000z0 w2 +b101000z0 &3 +b101000z0 54 +b101000z0 B4 +b101000z0 Q5 +b101000z0 ^5 +b101000z0 ; +b101000z0 H +1B# +1^$ +1z% +09' +0U( +0q) +0/+ +1)- +1E. +1a/ +1}0 +0]1 +0y2 +074 +0S5 +1< +#24030000 +1w" +15$ +1Q% +1m& +1+( +1G) +1c* +1!, +1^, +1z- +18/ +1T0 +1O2 +1k3 +1)5 +12" +1V# +1r$ +10& +1L' +1h( +1&* +1B+ +1=- +1Y. +1u/ +131 +1p1 +1.3 +1J4 +1f5 +1P +0S" +1_" +b101001z0 b" +b101001z0 o" +1{# +b101001z0 ~# +b101001z0 -$ +19% +b101001z0 <% +b101001z0 I% +1U& +b101001z0 X& +b101001z0 e& +0q' +b101001z0 t' +b101001z0 #( +0/) +b101001z0 2) +b101001z0 ?) +0K* +b101001z0 N* +b101001z0 [* +0g+ +b101001z0 j+ +b101001z0 w+ +1F, +b101001z0 I, +b101001z0 V, +1b- +b101001z0 e- +b101001z0 r- +1~. +b101001z0 #/ +b101001z0 0/ +1<0 +b101001z0 ?0 +b101001z0 L0 +072 +b101001z0 :2 +b101001z0 G2 +0S3 +b101001z0 V3 +b101001z0 c3 +0o4 +b101001z0 r4 +b101001z0 !5 +0y +b101001z0 { +b101001z0 *" +b101001z0 A# +b101001z0 N# +0D# +b101001z0 ]$ +b101001z0 j$ +0`$ +b101001z0 y% +b101001z0 (& +0|% +b101001z0 7' +b101001z0 D' +0:' +b101001z0 S( +b101001z0 `( +0V( +b101001z0 o) +b101001z0 |) +0r) +b101001z0 -+ +b101001z0 :+ +00+ +b101001z0 (- +b101001z0 5- +0+- +b101001z0 D. +b101001z0 Q. +0G. +b101001z0 `/ +b101001z0 m/ +0c/ +b101001z0 |0 +b101001z0 +1 +0!1 +b101001z0 [1 +b101001z0 h1 +0^1 +b101001z0 w2 +b101001z0 &3 +0z2 +b101001z0 54 +b101001z0 B4 +084 +b101001z0 Q5 +b101001z0 ^5 +0T5 +b101001z0 ; +b101001z0 H +0> +#24040000 +1G# +1c$ +1!& +1=' +1Y( +1u) +13+ +1.- +1J. +1f/ +1$1 +1a1 +1}2 +1;4 +1W5 +1A +1F( +1b) +1~* +1<, +1j2 +1(4 +1D5 +1M" +1q# +1/% +1K& +1X- +1t. +120 +1N1 +1k +0;' +0W( +0s) +01+ +0_1 +0{2 +094 +0U5 +0T" +#24050000 +1W" +0U" +1c" +1!$ +1=% +1Y& +0v' +04) +0P* +0l+ +1J, +1f- +1$/ +1@0 +0<2 +0X3 +0t4 +0} +#24060000 +0o' +0-) +0I* +0e+ +052 +0Q3 +0m4 +0t +1J# +1f$ +1$& +1@' +1\( +1x) +16+ +11- +1M. +1i/ +1'1 +1d1 +1"3 +1>4 +1Z5 +1D +1&( +1B) +1^* +1z+ +1J2 +1f3 +1$5 +1-" +1Q# +1m$ +1+& +18- +1T. +1p/ +1.1 +1K +03' +0O( +0k) +0)+ +0W1 +0s2 +014 +0M5 +b101010111111111010101011111111 ) +0e" +0#$ +0?% +0[& +0w' +05) +0Q* +0m+ +0L, +0h- +0&/ +0B0 +0=2 +0Y3 +0u4 +0~ +#24070000 +1y' +17) +1S* +1o+ +1?2 +1[3 +1w4 +1"" +1V" +1h" +1&$ +1B% +1^& +1z' +18) +1T* +1p+ +1O, +1k- +1)/ +1E0 +1@2 +1\3 +1x4 +1#" +0p +0x' +06) +0R* +0n+ +0>2 +0Z3 +0v4 +0!" +#24080000 +1T# +1p$ +1.& +1J' +1f( +1$* +1@+ +1;- +1W. +1s/ +111 +1n1 +1,3 +1H4 +1d5 +1N +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1/- +b101001z1 (- +b101001z1 5- +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +1b1 +b101001z1 [1 +b101001z1 h1 +1~2 +b101001z1 w2 +b101001z1 &3 +1<4 +b101001z1 54 +b101001z1 B4 +1X5 +b101001z1 Q5 +b101001z1 ^5 +1B +b101001z1 ; +b101001z1 H +#24090000 +0N( +0j) +0(+ +0D, +0r2 +004 +0L5 +1k" +1)$ +1E% +1a& +1R, +1n- +1,/ +1H0 +0p' +0.) +0J* +0f+ +062 +0R3 +0n4 +b111111110000000011111111 ) +0! +1" +#24100000 +1X( +1t) +12+ +1N, +1|2 +1:4 +1V5 +#24110000 +1u" +13$ +1O% +1k& +1\, +1x- +16/ +1R0 +0\( +0x) +06+ +0R, +0M, +0"3 +0>4 +0Z5 +1i" +b101001z1 b" +b101001z1 o" +1'$ +b101001z1 ~# +b101001z1 -$ +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1P, +b101001z1 I, +b101001z1 V, +1l- +b101001z1 e- +b101001z1 r- +1*/ +b101001z1 #/ +b101001z1 0/ +1F0 +b101001z1 ?0 +b101001z1 L0 +#24120000 +1Q +0" +b101011z1 ; +b101011z1 H +10 +#24130000 +0f( +0$* +0@+ +0\, +0,3 +0H4 +0d5 +0Z( +b101001z0 S( +b101001z0 `( +0v) +b101001z0 o) +b101001z0 |) +04+ +b101001z0 -+ +b101001z0 :+ +0P, +b101001z0 I, +b101001z0 V, +0~2 +b101001z0 w2 +b101001z0 &3 +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#24140000 +1Y +#24150000 +0Q +b101001z1 ; +b101001z1 H +00 +#24160000 +1J +#24170000 +0Y +#24180000 +1F +#24190000 +0J +#24200000 +17 +b1 # +b1 / +#24210000 +b1111111111111111111111111111110 1 +0F +#24230000 +b1111111111111111111111111111100 1 +07 +b0 # +b0 / +#24240000 +b1111111111111111111111111111101 1 +#24250000 +b1111111111111111111111111111001 1 +#24260000 +b1111111111111111111111111111011 1 +#24270000 +b1111111111111111111111111110011 1 +#24280000 +b1111111111111111111111111110111 1 +#24290000 +b1111111111111111111111111100111 1 +#24300000 +b1111111111111111111111111101111 1 +#24310000 +b1111111111111111111111111001111 1 +#24320000 +b1111111111111111111111111011111 1 +#24330000 +b1111111111111111111111110011111 1 +#24340000 +b1111111111111111111111110111111 1 +#24350000 +b1111111111111111111111100111111 1 +#24360000 +b1111111111111111111111101111111 1 +#24370000 +b1111111111111111111111001111111 1 +#24380000 +b1111111111111111111111011111111 1 +#24390000 +b1111111111111111111110011111111 1 +#24400000 +b1111111111111111111110111111111 1 +#24410000 +b1111111111111111111100111111111 1 +#24420000 +b1111111111111111111101111111111 1 +#24430000 +b1111111111111111111001111111111 1 +#24440000 +b1111111111111111111011111111111 1 +#24450000 +b1111111111111111110011111111111 1 +#24460000 +b1111111111111111110111111111111 1 +#24470000 +b1111111111111111100111111111111 1 +#24480000 +b1111111111111111101111111111111 1 +#24490000 +b1111111111111111001111111111111 1 +#24500000 +b1111111111111111011111111111111 1 +#24510000 +b1111111111111110011111111111111 1 +#24520000 +b1111111111111110111111111111111 1 +#24530000 +b1111111111111100111111111111111 1 +#24540000 +b1111111111111101111111111111111 1 +#24550000 +b1111111111111001111111111111111 1 +#24560000 +b1111111111111011111111111111111 1 +#24570000 +b1111111111110011111111111111111 1 +#24580000 +b1111111111110111111111111111111 1 +#24590000 +b1111111111100111111111111111111 1 +#24600000 +b1111111111101111111111111111111 1 +#24610000 +b1111111111001111111111111111111 1 +#24620000 +b1111111111011111111111111111111 1 +#24630000 +b1111111110011111111111111111111 1 +#24640000 +b1111111110111111111111111111111 1 +#24650000 +b1111111100111111111111111111111 1 +#24660000 +b1111111101111111111111111111111 1 +#24670000 +b1111111001111111111111111111111 1 +#24680000 +b1111111011111111111111111111111 1 +#24690000 +b1111110011111111111111111111111 1 +#24700000 +b1111110111111111111111111111111 1 +#24710000 +b1111100111111111111111111111111 1 +#24720000 +b1111101111111111111111111111111 1 +#24730000 +b1111001111111111111111111111111 1 +#24740000 +b1111011111111111111111111111111 1 +#24750000 +b1110011111111111111111111111111 1 +#24760000 +b1110111111111111111111111111111 1 +#24770000 +b1100111111111111111111111111111 1 +#24780000 +b1101111111111111111111111111111 1 +#24790000 +b1001111111111111111111111111111 1 +#24800000 +b1011111111111111111111111111111 1 +#24810000 +0$ +b11111111111111111111111111111 1 +#24820000 +b111111111111111111111111111111 1 +#24840000 +1$ +b1111111111111111111111111111111 1 +#26000000 +1[" +1:# +1w# +1V$ +15% +1r% +1Q& +0T1 +032 +0p2 +0O3 +0.4 +0k4 +0J5 +16 +0r +0Z" +09# +0v# +0U$ +04% +0q% +0P& +1/' +1l' +1K( +1*) +1g) +1F* +1%+ +1b+ +1S1 +122 +1o2 +1N3 +1-4 +1j4 +1I5 +05 +1q +b1111111111111111 & +b1111111111111111 . +b11111111111111111111111100000000 % +b11111111111111111111111100000000 - +#26010000 +0]' +0<( +0y( +0X) +07* +0t* +0S+ +02, +1w +b100001z1 7' +b100001z1 D' +b100001z0 t' +b100001z0 #( +b100001z0 S( +b100001z0 `( +b100001z0 2) +b100001z0 ?) +b100001z0 o) +b100001z0 |) +b100001z0 N* +b100001z0 [* +b100001z0 -+ +b100001z0 :+ +b100001z0 j+ +b100001z0 w+ +#26020000 +1\' +1;( +1x( +1W) +16* +1s* +1R+ +11, +b100101z1 7' +b100101z1 D' +b100101z0 t' +b100101z0 #( +b100101z0 S( +b100101z0 `( +b100101z0 2) +b100101z0 ?) +b100101z0 o) +b100101z0 |) +b100101z0 N* +b100101z0 [* +b100101z0 -+ +b100101z0 :+ +b100101z0 j+ +b100101z0 w+ +0c" +0B# +0!$ +0^$ +0=% +0z% +0Y& +19' +1v' +1U( +14) +1q) +1P* +1/+ +1l+ +1]1 +1<2 +1y2 +1X3 +174 +1t4 +1S5 +0< +1} +1S" +#26030000 +0L' +0+( +0h( +0G) +0&* +0c* +0B+ +0!, +1R" +0_" +0># +0{# +0Z$ +09% +0v% +0U& +1X1 +172 +1t2 +1S3 +124 +1o4 +1N5 +09 +1y +1e" +1D# +1#$ +1`$ +1?% +1|% +1[& +b100100z1 7' +b100100z1 D' +1:' +b100100z0 t' +b100100z0 #( +1w' +b100100z0 S( +b100100z0 `( +1V( +b100100z0 2) +b100100z0 ?) +15) +b100100z0 o) +b100100z0 |) +1r) +b100100z0 N* +b100100z0 [* +1Q* +b100100z0 -+ +b100100z0 :+ +10+ +b100100z0 j+ +b100100z0 w+ +1m+ +1^1 +1=2 +1z2 +1Y3 +184 +1u4 +1T5 +1> +1~ +#26040000 +0h" +0G# +0&$ +0c$ +0B% +0!& +0^& +0=' +0z' +0Y( +08) +0u) +0T* +03+ +0p+ +0a1 +0@2 +0}2 +0\3 +0;4 +0x4 +0W5 +0A +0#" +1;' +1_1 +#26050000 +1p +0d" +0C# +0"$ +0_$ +0>% +0{% +0Z& +1\1 +1;2 +1x2 +1W3 +164 +1s4 +1R5 +0= +1| +1|' +1[( +1:) +1w) +1V* +15+ +1r+ +1B2 +1!3 +1^3 +1=4 +1z4 +1Y5 +1%" +#26060000 +1o' +152 +0k" +0J# +0)$ +0f$ +0E% +0$& +0a& +0@' +0d1 +0D +13' +1W1 +b1111111110000000111111111 ) +0e" +0D# +0#$ +0`$ +0?% +0|% +0[& +0^1 +0=2 +0z2 +0Y3 +084 +0u4 +0T5 +0> +0~ +#26070000 +1r2 +1Q3 +104 +1m4 +1L5 +1t +1)( +1f( +1E) +1$* +1a* +1@+ +1}+ +1M2 +1,3 +1i3 +1H4 +1'5 +1d5 +10" +0y' +0?2 +1h" +1G# +1&$ +1c$ +1B% +1!& +1^& +1a1 +1@2 +1}2 +1\3 +1;4 +1x4 +1W5 +1A +1#" +0f" +0E# +0$$ +0a$ +0@% +0}% +0\& +162 +1s2 +1R3 +114 +1n4 +1M5 +b1111111111111110000000111111111 ) +0? +1! +1{' +b100100z1 t' +b100100z1 #( +1Z( +b100100z1 S( +b100100z1 `( +19) +b100100z1 2) +b100100z1 ?) +1v) +b100100z1 o) +b100100z1 |) +1U* +b100100z1 N* +b100100z1 [* +14+ +b100100z1 -+ +b100100z1 :+ +1q+ +b100100z1 j+ +b100100z1 w+ +1A2 +b101001z1 :2 +b101001z1 G2 +1~2 +b101001z1 w2 +b101001z1 &3 +1]3 +b101001z1 V3 +b101001z1 c3 +1<4 +b101001z1 54 +b101001z1 B4 +1y4 +b101001z1 r4 +b101001z1 !5 +1X5 +b101001z1 Q5 +b101001z1 ^5 +1$" +b101001z1 { +b101001z1 *" +#26080000 +0u" +0T# +03$ +0p$ +0O% +0.& +0k& +0J' +0n1 +0N +0|2 +0[3 +0:4 +0w4 +0V5 +0"" +0V" +1x' +1>2 +0i" +b101001z0 b" +b101001z0 o" +0H# +b101001z0 A# +b101001z0 N# +0'$ +b101001z0 ~# +b101001z0 -$ +0d$ +b101001z0 ]$ +b101001z0 j$ +0C% +b101001z0 <% +b101001z0 I% +0"& +b101001z0 y% +b101001z0 (& +0_& +b101001z0 X& +b101001z0 e& +0>' +b100100z0 7' +b100100z0 D' +0b1 +b101001z0 [1 +b101001z0 h1 +0B +b101001z0 ; +b101001z0 H +0B2 +0!3 +0^3 +0=4 +0z4 +0Y5 +0%" +#26090000 +0<# +0y# +0X$ +07% +0t% +0S& +02' +0]" +1{2 +1Z3 +194 +1v4 +1U5 +1!" +1Y" +1U" +0|' +1k" +1J# +1)$ +1f$ +1E% +1$& +1a& +1d1 +1C2 +1"3 +1_3 +1>4 +1{4 +1Z5 +1D +1&" +0^" +0=# +0z# +0Y$ +08% +0u% +0T& +08 +b1111111111111110000000100000000 ) +#26100000 +1N( +1F# +1%$ +1b$ +1A% +1~% +1]& +1<' +1g" +1p' +b1111111111111110000001100000000 ) +#26110000 +0)( +1u" +1T# +13$ +1p$ +1O% +1.& +1k& +1n1 +1N +0X( +0J# +0)$ +0f$ +0E% +0$& +0a& +0;' +0k" +1x +0{' +b100100z0 t' +b100100z0 #( +1i" +b101001z1 b" +b101001z1 o" +1H# +b101001z1 A# +b101001z1 N# +1'$ +b101001z1 ~# +b101001z1 -$ +1d$ +b101001z1 ]$ +b101001z1 j$ +1C% +b101001z1 <% +b101001z1 I% +1"& +b101001z1 y% +b101001z1 (& +1_& +b101001z1 X& +b101001z1 e& +1b1 +b101001z1 [1 +b101001z1 h1 +1B +b101001z1 ; +b101001z1 H +#26120000 +1W( +1?' +#26130000 +0T# +03$ +0p$ +0O% +0.& +0k& +0o' +0u" +0[( +0H# +b101001z0 A# +b101001z0 N# +0'$ +b101001z0 ~# +b101001z0 -$ +0d$ +b101001z0 ]$ +b101001z0 j$ +0C% +b101001z0 <% +b101001z0 I% +0"& +b101001z0 y% +b101001z0 (& +0_& +b101001z0 X& +b101001z0 e& +03' +b1111111111111110000001000000000 ) +0i" +b101001z0 b" +b101001z0 o" +#26140000 +1-) +1J' +1Q +1y' +1O( +b1111111111111110000011000000000 ) +1>' +b100100z1 7' +b100100z1 D' +b101011z1 ; +b101011z1 H +10 +#26150000 +0f( +07) +0x' +0Z( +b100100z0 S( +b100100z0 `( +#26160000 +16) +1Y +1|' +#26170000 +0N( +0:) +0p' +b1111111111111110000010000000000 ) +#26180000 +1j) +1)( +1X( +1.) +b1111111111111110000110000000000 ) +1J +1{' +b100100z1 t' +b100100z1 #( +#26190000 +0E) +0t) +0W( +09) +b100100z0 2) +b100100z0 ?) +#26200000 +1s) +1[( +1F +#26210000 +0-) +0w) +0O( +b1111111111111110000100000000000 ) +#26220000 +1I* +1f( +17) +1k) +b1111111111111110001100000000000 ) +1Z( +b100100z1 S( +b100100z1 `( +17 +b1 # +b1 / +#26230000 +0$* +0S* +b1111111111111111111111111111110 1 +06) +0v) +b100100z0 o) +b100100z0 |) +#26240000 +1R* +1:) +#26250000 +0j) +b1111111111111111111111111111100 1 +0V* +0.) +b1111111111111110001000000000000 ) +#26260000 +1(+ +1E) +1t) +1J* +b1111111111111110011000000000000 ) +19) +b100100z1 2) +b100100z1 ?) +#26270000 +0a* +02+ +b1111111111111111111111111111000 1 +0s) +0U* +b100100z0 N* +b100100z0 [* +#26280000 +11+ +1w) +#26290000 +0I* +b1111111111111111111111111110000 1 +05+ +0k) +b1111111111111110010000000000000 ) +#26300000 +1e+ +1$* +1S* +1)+ +b1111111111111110110000000000000 ) +1v) +b100100z1 o) +b100100z1 |) +#26310000 +0@+ +0o+ +b1111111111111111111111111100000 1 +0R* +04+ +b100100z0 -+ +b100100z0 :+ +#26320000 +1n+ +1V* +#26330000 +0(+ +b1111111111111111111111111000000 1 +0r+ +0J* +b1111111111111110100000000000000 ) +#26340000 +1D, +1a* +12+ +1f+ +b1111111111111111100000000000000 ) +1U* +b100100z1 N* +b100100z1 [* +#26350000 +0}+ +0N, +b1111111111111111111111110000000 1 +01+ +0q+ +b100100z0 j+ +b100100z0 w+ +#26360000 +1R, +1M, +15+ +#26370000 +0e+ +b1111111111111111111111100000000 1 +0)+ +b1111111111111111000000000000000 ) +#26380000 +1\, +1@+ +1o+ +1P, +b101001z1 I, +b101001z1 V, +14+ +b100100z1 -+ +b100100z1 :+ +#26390000 +b1111111111111111111111000000000 1 +0n+ +#26400000 +1r+ +#26410000 +0D, +b1111111111111111111110000000000 1 +0f+ +b1111111111111110000000000000000 ) +#26420000 +1}+ +1N, +1q+ +b100100z1 j+ +b100100z1 w+ +#26430000 +b1111111111111111111100000000000 1 +0R, +0M, +#26450000 +0\, +b1111111111111111111000000000000 1 +0P, +b101001z0 I, +b101001z0 V, +#26470000 +b1111111111111111110000000000000 1 +#26490000 +b1111111111111111100000000000000 1 +#26510000 +b1111111111111111000000000000000 1 +#26530000 +b1111111111111110000000000000000 1 +#26550000 +b1111111111111100000000000000000 1 +#26570000 +b1111111111111000000000000000000 1 +#26590000 +b1111111111110000000000000000000 1 +#26610000 +b1111111111100000000000000000000 1 +#26630000 +b1111111111000000000000000000000 1 +#26650000 +b1111111110000000000000000000000 1 +#26670000 +b1111111100000000000000000000000 1 +#26690000 +b1111111000000000000000000000000 1 +#26710000 +b1111110000000000000000000000000 1 +#26730000 +b1111100000000000000000000000000 1 +#26750000 +b1111000000000000000000000000000 1 +#26770000 +b1110000000000000000000000000000 1 +#26790000 +b1100000000000000000000000000000 1 +#26810000 +b1000000000000000000000000000000 1 +#26830000 +0$ +b0 1 +#28000000 +0:# +0V$ +0r% +0m' +0+) +0G* +0c+ +1B, +1^- +1z. +180 +132 +1O3 +1k4 +06 +1r +1Z" +1v# +14% +1P& +0l' +0*) +0F* +0b+ +0A, +0]- +0y. +070 +0S1 +0o2 +0-4 +0I5 +b10101010010101010101010110101010 & +b10101010010101010101010110101010 . +b10101010101010100101010110101010 % +b10101010101010100101010110101010 - +#28010000 +1h# +1&% +1B& +0`2 +0|3 +0:5 +1b +0C" +0*# +0F$ +0b% +0~& +1<( +1=( +1X) +1Y) +1t* +1u* +12, +13, +1$2 +1@3 +1\4 +1x5 +b111001z0 A# +b111001z0 N# +b111001z0 ]$ +b111001z0 j$ +b111001z0 y% +b111001z0 (& +b100001z1 :2 +b100001z1 G2 +b100001z1 V3 +b100001z1 c3 +b100001z1 r4 +b100001z1 !5 +b111011z1 ; +b111011z1 H +0w +b100001z1 { +b100001z1 *" +b100001z0 b" +b100001z0 o" +b100001z0 ~# +b100001z0 -$ +b100001z0 <% +b100001z0 I% +b100001z0 X& +b100001z0 e& +b111100z1 t' +b111100z1 #( +b111100z1 2) +b111100z1 ?) +b111100z1 N* +b111100z1 [* +b111100z1 j+ +b111100z1 w+ +b111001z1 [1 +b111001z1 h1 +b111001z1 w2 +b111001z1 &3 +b111001z1 54 +b111001z1 B4 +b111001z1 Q5 +b111001z1 ^5 +#28020000 +0i# +0'% +0C& +1_2 +1{3 +195 +0c +1B" +1)# +1E$ +1a% +1}& +0;( +0>( +0W) +0Z) +0s* +0v* +01, +04, +0%2 +0A3 +0]4 +0y5 +b11001z0 A# +b11001z0 N# +b11001z0 ]$ +b11001z0 j$ +b11001z0 y% +b11001z0 (& +b100101z1 :2 +b100101z1 G2 +b100101z1 V3 +b100101z1 c3 +b100101z1 r4 +b100101z1 !5 +b11011z1 ; +b11011z1 H +b100101z1 { +b100101z1 *" +b100101z0 b" +b100101z0 o" +b100101z0 ~# +b100101z0 -$ +b100101z0 <% +b100101z0 I% +b100101z0 X& +b100101z0 e& +b11000z1 t' +b11000z1 #( +b11000z1 2) +b11000z1 ?) +b11000z1 N* +b11000z1 [* +b11000z1 j+ +b11000z1 w+ +b11001z1 [1 +b11001z1 h1 +b11001z1 w2 +b11001z1 &3 +b11001z1 54 +b11001z1 B4 +b11001z1 Q5 +b11001z1 ^5 +1d" +1"$ +1>% +1Z& +0v' +04) +0P* +0l+ +0J, +0f- +0$/ +0@0 +0\1 +0x2 +064 +0R5 +#28030000 +0V# +0r$ +00& +0O2 +0k3 +0)5 +0P +02" +0w" +05$ +0Q% +0m& +0p1 +0.3 +0J4 +0f5 +0R" +1># +b11000z0 A# +b11000z0 N# +1Z$ +b11000z0 ]$ +b11000z0 j$ +1v% +b11000z0 y% +b11000z0 (& +1q' +1/) +1K* +1g+ +0F, +0b- +0~. +0<0 +072 +b100100z1 :2 +b100100z1 G2 +0S3 +b100100z1 V3 +b100100z1 c3 +0o4 +b100100z1 r4 +b100100z1 !5 +19 +b11010z1 ; +b11010z1 H +0y +b100100z1 { +b100100z1 *" +b100100z0 b" +b100100z0 o" +1e" +b100100z0 ~# +b100100z0 -$ +1#$ +b100100z0 <% +b100100z0 I% +1?% +b100100z0 X& +b100100z0 e& +1[& +0w' +05) +0Q* +0m+ +1L, +1h- +1&/ +1B0 +b11000z1 [1 +b11000z1 h1 +1^1 +b11000z1 w2 +b11000z1 &3 +1z2 +b11000z1 54 +b11000z1 B4 +184 +b11000z1 Q5 +b11000z1 ^5 +1T5 +#28040000 +0#- +0h" +0&$ +0B% +0^& +1z' +18) +1T* +1p+ +0O, +0k- +0)/ +0E0 +0a1 +0}2 +0;4 +0W5 +0q# +0/% +0K& +0k +0F( +0b) +0~* +0<, +0-2 +0I3 +0e4 +0#6 +0E, +b1111111111111100000000000000000 ) +1T" +#28050000 +1-- +0W" +1C# +1_$ +1{% +1v' +14) +1P* +1l+ +0K, +0g- +0%/ +0A0 +0;2 +0W3 +0s4 +1= +0| +1j" +1($ +1D% +1`& +0|' +0:) +0V* +0r+ +1Q, +#28060000 +01- +0,- +0n- +0,/ +0H0 +0d1 +0"3 +0>4 +0Z5 +0Q# +0m$ +0+& +0K +0&( +0B) +0^* +0z+ +0k1 +0)3 +0E4 +0a5 +1D# +1`$ +1|% +1w' +15) +1Q* +1m+ +0L, +0h- +0&/ +0B0 +1=2 +1Y3 +1u4 +1> +1~ +#28070000 +1u" +13$ +1O% +1k& +0)( +0E) +0a* +0}+ +1\, +0G# +0c$ +0!& +0z' +08) +0T* +0p+ +1O, +1k- +1)/ +1E0 +0@2 +0\3 +0x4 +0A +0#" +0Y" +0i- +0'/ +0C0 +1? +1i" +b100100z1 b" +b100100z1 o" +1'$ +b100100z1 ~# +b100100z1 -$ +1C% +b100100z1 <% +b100100z1 I% +1_& +b100100z1 X& +b100100z1 e& +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +1P, +b101001z1 I, +b101001z1 V, +#28080000 +0;- +0x- +06/ +0R0 +0n1 +0,3 +0H4 +0d5 +0/- +b101001z0 (- +b101001z0 5- +0l- +b101001z0 e- +b101001z0 r- +0*/ +b101001z0 #/ +b101001z0 0/ +0F0 +b101001z0 ?0 +b101001z0 L0 +0b1 +b11000z0 [1 +b11000z0 h1 +0~2 +b11000z0 w2 +b11000z0 &3 +0<4 +b11000z0 54 +b11000z0 B4 +0X5 +b11000z0 Q5 +b11000z0 ^5 +1I# +1e$ +1#& +1|' +1:) +1V* +1r+ +0Q, +#28090000 +0?. +0[/ +0w0 +1]" +1n- +1,/ +1H0 +0C2 +0_3 +0{4 +0D +0&" +0x +0a- +0}. +0;0 +18 +b1111111101010100000000000000001 ) +#28100000 +1T# +1p$ +1.& +1)( +1E) +1a* +1}+ +0\, +1I. +1e/ +1#1 +0g" +1H# +b11000z1 A# +b11000z1 N# +1d$ +b11000z1 ]$ +b11000z1 j$ +1"& +b11000z1 y% +b11000z1 (& +1{' +b11000z1 t' +b11000z1 #( +19) +b11000z1 2) +b11000z1 ?) +1U* +b11000z1 N* +b11000z1 [* +1q+ +b11000z1 j+ +b11000z1 w+ +0P, +b101001z0 I, +b101001z0 V, +#28110000 +1x- +16/ +1R0 +0M2 +0i3 +0'5 +0N +00" +0M. +0H. +0i/ +0d/ +0'1 +0"1 +1f" +1l- +b101001z1 e- +b101001z1 r- +1*/ +b101001z1 #/ +b101001z1 0/ +1F0 +b101001z1 ?0 +b101001z1 L0 +0A2 +b100100z0 :2 +b100100z0 G2 +0]3 +b100100z0 V3 +b100100z0 c3 +0y4 +b100100z0 r4 +b100100z0 !5 +0B +b11010z0 ; +b11010z0 H +0$" +b100100z0 { +b100100z0 *" +#28120000 +0Q +0j" +b11000z0 ; +b11000z0 H +00 +#28130000 +0W. +0s/ +011 +1<# +0K. +b101001z0 D. +b101001z0 Q. +0g/ +b101001z0 `/ +b101001z0 m/ +0%1 +b101001z0 |0 +b101001z0 +1 +1^" +b1111111101010100000000000000011 ) +#28140000 +0u" +0F# +0Y +0i" +b100100z0 b" +b100100z0 o" +#28150000 +1E# +#28160000 +0I# +0J +#28170000 +1y# +1=# +b1111111101010100000000000000111 ) +#28180000 +0T# +0%$ +0H# +b11000z0 A# +b11000z0 N# +0F +#28190000 +1$$ +#28200000 +0($ +07 +b0 # +b0 / +#28210000 +1X$ +b1 1 +1z# +b1111111101010100000000000001111 ) +#28220000 +03$ +0b$ +0'$ +b100100z0 ~# +b100100z0 -$ +#28230000 +b11 1 +1a$ +#28240000 +0e$ +#28250000 +17% +b111 1 +1Y$ +b1111111101010100000000000011111 ) +#28260000 +0p$ +0A% +0d$ +b11000z0 ]$ +b11000z0 j$ +#28270000 +b1111 1 +1@% +#28280000 +0D% +#28290000 +1t% +b11111 1 +18% +b1111111101010100000000000111111 ) +#28300000 +0O% +0~% +0C% +b100100z0 <% +b100100z0 I% +#28310000 +b111111 1 +1}% +#28320000 +0#& +#28330000 +1S& +b1111111 1 +1u% +b1111111101010100000000001111111 ) +#28340000 +0.& +0]& +0"& +b11000z0 y% +b11000z0 (& +#28350000 +b11111111 1 +1\& +#28360000 +0`& +#28370000 +12' +b111111111 1 +1T& +b1111111101010100000000011111111 ) +#28380000 +0k& +0<' +0_& +b100100z0 X& +b100100z0 e& +#28390000 +b1111111111 1 +1;' +#28400000 +0?' +#28410000 +1o' +b11111111111 1 +13' +b1111111101010100000000111111111 ) +#28420000 +0J' +0y' +0>' +b100100z0 7' +b100100z0 D' +#28430000 +b111111111111 1 +1x' +#28440000 +0|' +#28450000 +1N( +b1111111111111 1 +1p' +b1111111101010100000001111111111 ) +#28460000 +0)( +0X( +0{' +b11000z0 t' +b11000z0 #( +#28470000 +b11111111111111 1 +1W( +#28480000 +0[( +#28490000 +1-) +b111111111111111 1 +1O( +b1111111101010100000011111111111 ) +#28500000 +0f( +07) +0Z( +b100100z0 S( +b100100z0 `( +#28510000 +b1111111111111111 1 +16) +#28520000 +0:) +#28530000 +1j) +b11111111111111111 1 +1.) +b1111111101010100000111111111111 ) +#28540000 +0E) +0t) +09) +b11000z0 2) +b11000z0 ?) +#28550000 +b111111111111111111 1 +1s) +#28560000 +0w) +#28570000 +1I* +b1111111111111111111 1 +1k) +b1111111101010100001111111111111 ) +#28580000 +0$* +0S* +0v) +b100100z0 o) +b100100z0 |) +#28590000 +b11111111111111111111 1 +1R* +#28600000 +0V* +#28610000 +1(+ +b111111111111111111111 1 +1J* +b1111111101010100011111111111111 ) +#28620000 +0a* +02+ +0U* +b11000z0 N* +b11000z0 [* +#28630000 +b1111111111111111111111 1 +11+ +#28640000 +05+ +#28650000 +1e+ +b11111111111111111111111 1 +1)+ +b1111111101010100111111111111111 ) +#28660000 +0@+ +0o+ +04+ +b100100z0 -+ +b100100z0 :+ +#28670000 +b111111111111111111111111 1 +1n+ +#28680000 +0r+ +#28690000 +1D, +b1111111111111111111111111 1 +1f+ +b1111111101010101111111111111111 ) +#28700000 +0}+ +0N, +0q+ +b11000z0 j+ +b11000z0 w+ +#28710000 +b11111111111111111111111111 1 +1R, +#28730000 +1\, +b111111111111111111111111111 1 +1P, +b101001z1 I, +b101001z1 V, +#28750000 +b1111111111111111111111111111 1 +#28770000 +b11111111111111111111111111111 1 +#28790000 +b111111111111111111111111111111 1 +#28810000 +1$ +b1111111111111111111111111111111 1 +#30000000 +0[" +0w# +05% +0Q& +1!- +1=. +1Y/ +1u0 +1T1 +1p2 +1.4 +1J5 +0Z" +0v# +04% +0P& +1l' +1*) +1F* +1b+ +1A, +0~, +1]- +0<. +1y. +0X/ +170 +0t0 +1S1 +1o2 +1-4 +1I5 +b11111111111111110101010100000000 & +b11111111111111110101010100000000 . +b11111111010101011111111100000000 % +b11111111010101011111111100000000 - +#30010000 +1*# +1+# +1F$ +1G$ +1b% +1c% +1~& +1!' +0=( +0Y) +0u* +03, +0o, +0-. +0I/ +0e0 +0#2 +0$2 +0?3 +0@3 +0[4 +0\4 +0w5 +0x5 +b111100z0 b" +b111100z0 o" +b111100z0 ~# +b111100z0 -$ +b111100z0 <% +b111100z0 I% +b111100z0 X& +b111100z0 e& +b1000z0 t' +b1000z0 #( +b1000z0 2) +b1000z0 ?) +b1000z0 N* +b1000z0 [* +b1000z0 j+ +b1000z0 w+ +b100001z1 I, +b100001z1 V, +b100001z1 e- +b100001z1 r- +b100001z1 #/ +b100001z1 0/ +b100001z1 ?0 +b100001z1 L0 +b0z0 [1 +b0z0 h1 +b0z0 w2 +b0z0 &3 +b0z0 54 +b0z0 B4 +b0z0 Q5 +b0z0 ^5 +#30020000 +0)# +0,# +0E$ +0H$ +0a% +0d% +0}& +0"' +1>( +1Z) +1v* +14, +1n, +1,. +1H/ +1d0 +1"2 +1%2 +1>3 +1A3 +1Z4 +1]4 +1v5 +1y5 +b11000z0 b" +b11000z0 o" +b11000z0 ~# +b11000z0 -$ +b11000z0 <% +b11000z0 I% +b11000z0 X& +b11000z0 e& +b101000z0 t' +b101000z0 #( +b101000z0 2) +b101000z0 ?) +b101000z0 N* +b101000z0 [* +b101000z0 j+ +b101000z0 w+ +b100101z1 I, +b100101z1 V, +b100101z1 e- +b100101z1 r- +b100101z1 #/ +b100101z1 0/ +b100101z1 ?0 +b100101z1 L0 +b100100z0 [1 +b100100z0 h1 +b100100z0 w2 +b100100z0 &3 +b100100z0 54 +b100100z0 B4 +b100100z0 Q5 +b100100z0 ^5 +0d" +0"$ +0>% +0Z& +1u' +13) +1O* +1k+ +1K, +0)- +1g- +0E. +1%/ +0a/ +1A0 +0}0 +1\1 +1x2 +164 +1R5 +#30030000 +1+( +1G) +1c* +1!, +0^, +0z- +08/ +0T0 +1_" +1{# +19% +1U& +0%- +0A. +0]/ +0y0 +0X1 +0t2 +024 +0N5 +0e" +0#$ +0?% +0[& +b101001z0 t' +b101001z0 #( +0w' +b101001z0 2) +b101001z0 ?) +05) +b101001z0 N* +b101001z0 [* +0Q* +b101001z0 j+ +b101001z0 w+ +0m+ +b100100z1 I, +b100100z1 V, +1L, +1+- +b100100z1 e- +b100100z1 r- +1h- +1G. +b100100z1 #/ +b100100z1 0/ +1&/ +1c/ +b100100z1 ?0 +b100100z1 L0 +1B0 +1!1 +0^1 +0z2 +084 +0T5 +#30040000 +0`- +0|. +0:0 +0V1 +1h" +1&$ +1B% +1^& +1z' +18) +1T* +1p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +1a1 +1}2 +1;4 +1W5 +04# +0P$ +0l% +0*' +1F( +1b) +1~* +1<, +1-2 +1I3 +1e4 +1#6 +0f" +0$$ +0@% +0\& +1M, +0$- +1i- +0@. +1'/ +0\/ +1C0 +0x0 +b1111111000000001111111111111111 ) +#30050000 +1j- +1(/ +1D0 +1`1 +1d" +1"$ +1>% +1Z& +0*- +0F. +0b/ +0~0 +0\1 +0x2 +064 +0R5 +10- +1L. +1h/ +1&1 +#30060000 +0<# +0X$ +0t% +02' +1#- +1?. +1[/ +1w0 +0i- +0'/ +0C0 +0_1 +1k" +1)$ +1E% +1a& +1}' +1;) +1W* +1s+ +0R, +0n- +0,/ +0H0 +1"3 +1>4 +1Z5 +0r" +00$ +0L% +0h& +1&( +1B) +1^* +1z+ +1k1 +1)3 +1E4 +1a5 +0^" +0z# +08% +0T& +1E, +1a- +1}. +1;0 +b1111111010101011111111101010101 ) +1e" +1#$ +1?% +1[& +0+- +0G. +0c/ +0!1 +1^1 +1z2 +184 +1T5 +#30070000 +1;- +1W. +1s/ +111 +1F# +1b$ +1~% +1<' +0-- +0I. +0e/ +0#1 +0h" +0&$ +0B% +0^& +1.- +1J. +1f/ +1$1 +0a1 +0}2 +0;4 +0W5 +1m- +1+/ +1G0 +1f" +1$$ +1@% +1\& +1/- +b101001z1 (- +b101001z1 5- +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +#30080000 +0?. +0[/ +0w0 +052 +1u" +13$ +1O% +1k& +1)( +1E) +1a* +1}+ +0\, +1,3 +1H4 +1d5 +0E# +0a$ +0}% +0;' +0a- +0}. +0;0 +0W1 +b1111110000000011111111101010101 ) +1i" +b11000z1 b" +b11000z1 o" +1'$ +b11000z1 ~# +b11000z1 -$ +1C% +b11000z1 <% +b11000z1 I% +1_& +b11000z1 X& +b11000z1 e& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +0P, +b100100z0 I, +b100100z0 V, +1~2 +b100100z1 w2 +b100100z1 &3 +1<4 +b100100z1 54 +b100100z1 B4 +1X5 +b100100z1 Q5 +b100100z1 ^5 +00- +0L. +0h/ +0&1 +1c1 +#30090000 +1<# +1X$ +1t% +12' +1I. +1e/ +1#1 +1?2 +1I# +1e$ +1#& +1?' +0k" +0)$ +0E% +0a& +11- +0"3 +0>4 +0Z5 +1^" +1z# +18% +1T& +b1111110000000011111111111111111 ) +#30100000 +0y# +07% +0S& +0o' +0W. +0s/ +011 +1n1 +0F# +0b$ +0~% +0<' +0>2 +0=# +0Y$ +0u% +03' +b1111110000000011111111010101011 ) +0K. +b101001z0 D. +b101001z0 Q. +0g/ +b101001z0 `/ +b101001z0 m/ +0%1 +b101001z0 |0 +b101001z0 +1 +1b1 +b100100z1 [1 +b100100z1 h1 +#30110000 +1T# +1p$ +1.& +1J' +0u" +03$ +0O% +0k& +0,3 +0H4 +0d5 +1%$ +1A% +1]& +1y' +1E# +1a$ +1}% +1;' +1B2 +1H# +b11000z1 A# +b11000z1 N# +1d$ +b11000z1 ]$ +b11000z1 j$ +1"& +b11000z1 y% +b11000z1 (& +1>' +b100100z1 7' +b100100z1 D' +0i" +b11000z0 b" +b11000z0 o" +0'$ +b11000z0 ~# +b11000z0 -$ +0C% +b11000z0 <% +b11000z0 I% +0_& +b11000z0 X& +b11000z0 e& +0~2 +b100100z0 w2 +b100100z0 &3 +0<4 +b100100z0 54 +b100100z0 B4 +0X5 +b100100z0 Q5 +b100100z0 ^5 +#30120000 +0r2 +0$$ +0@% +0\& +0}' +0x' +0I# +0e$ +0#& +0?' +062 +b1111100000000011111111010101011 ) +#30130000 +1y# +17% +1S& +1o' +1M2 +1|2 +1($ +1D% +1`& +1=# +1Y$ +1u% +13' +b1111100000000011111111111111111 ) +1A2 +b100100z1 :2 +b100100z1 G2 +#30140000 +0X$ +0t% +02' +0)( +0T# +0p$ +0.& +0J' +0%$ +0A% +0]& +0y' +0{2 +0z# +08% +0T& +b1111100000000011111111101010111 ) +0{' +b101001z0 t' +b101001z0 #( +0H# +b11000z0 A# +b11000z0 N# +0d$ +b11000z0 ]$ +b11000z0 j$ +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +#30150000 +13$ +1O% +1k& +1b$ +1~% +1<' +1$$ +1@% +1\& +1}' +1x' +1!3 +1'$ +b11000z1 ~# +b11000z1 -$ +1C% +b11000z1 <% +b11000z1 I% +1_& +b11000z1 X& +b11000z1 e& +#30160000 +0Q3 +0a$ +0}% +0;' +0($ +0D% +0`& +0s2 +b1111000000000011111111101010111 ) +#30170000 +1X$ +1t% +12' +1)( +1,3 +1[3 +1e$ +1#& +1?' +1z# +18% +1T& +b1111000000000011111111111111111 ) +1{' +b101001z1 t' +b101001z1 #( +1~2 +b100100z1 w2 +b100100z1 &3 +#30180000 +07% +0S& +0o' +03$ +0O% +0k& +0b$ +0~% +0<' +0Z3 +0Y$ +0u% +03' +b1111000000000011111111010101111 ) +0'$ +b11000z0 ~# +b11000z0 -$ +0C% +b11000z0 <% +b11000z0 I% +0_& +b11000z0 X& +b11000z0 e& +#30190000 +1p$ +1.& +1J' +1A% +1]& +1y' +1a$ +1}% +1;' +1^3 +1d$ +b11000z1 ]$ +b11000z1 j$ +1"& +b11000z1 y% +b11000z1 (& +1>' +b100100z1 7' +b100100z1 D' +#30200000 +004 +0@% +0\& +0}' +0x' +0e$ +0#& +0?' +0R3 +b1110000000000011111111010101111 ) +#30210000 +17% +1S& +1o' +1i3 +1:4 +1D% +1`& +1Y$ +1u% +13' +b1110000000000011111111111111111 ) +1]3 +b100100z1 V3 +b100100z1 c3 +#30220000 +0t% +02' +0)( +0p$ +0.& +0J' +0A% +0]& +0y' +094 +08% +0T& +b1110000000000011111111101011111 ) +0{' +b101001z0 t' +b101001z0 #( +0d$ +b11000z0 ]$ +b11000z0 j$ +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +#30230000 +1O% +1k& +1~% +1<' +1@% +1\& +1}' +1x' +1=4 +1C% +b11000z1 <% +b11000z1 I% +1_& +b11000z1 X& +b11000z1 e& +#30240000 +0m4 +0}% +0;' +0D% +0`& +014 +b1100000000000011111111101011111 ) +#30250000 +1t% +12' +1)( +1H4 +1w4 +1#& +1?' +18% +1T& +b1100000000000011111111111111111 ) +1{' +b101001z1 t' +b101001z1 #( +1<4 +b100100z1 54 +b100100z1 B4 +#30260000 +0S& +0o' +0O% +0k& +0~% +0<' +0v4 +0u% +03' +b1100000000000011111111010111111 ) +0C% +b11000z0 <% +b11000z0 I% +0_& +b11000z0 X& +b11000z0 e& +#30270000 +1.& +1J' +1]& +1y' +1}% +1;' +1z4 +1"& +b11000z1 y% +b11000z1 (& +1>' +b100100z1 7' +b100100z1 D' +#30280000 +0L5 +0\& +0}' +0x' +0#& +0?' +0n4 +b1000000000000011111111010111111 ) +#30290000 +1S& +1o' +1'5 +1V5 +1`& +1u% +13' +b1000000000000011111111111111111 ) +1y4 +b100100z1 r4 +b100100z1 !5 +#30300000 +02' +0)( +0.& +0J' +0]& +0y' +0U5 +0T& +b1000000000000011111111101111111 ) +0{' +b101001z0 t' +b101001z0 #( +0"& +b11000z0 y% +b11000z0 (& +0>' +b100100z0 7' +b100100z0 D' +#30310000 +1k& +1<' +1\& +1}' +1x' +1Y5 +1_& +b11000z1 X& +b11000z1 e& +#30320000 +0t +0;' +0`& +0M5 +b11111111101111111 ) +#30330000 +12' +1)( +1d5 +1"" +1V" +1?' +1T& +b11111111111111111 ) +1{' +b101001z1 t' +b101001z1 #( +1X5 +b100100z1 Q5 +b100100z1 ^5 +#30340000 +0o' +0k& +0<' +0!" +0U" +03' +b11111111011111111 ) +0_& +b11000z0 X& +b11000z0 e& +#30350000 +1J' +1y' +1;' +1%" +1X" +1>' +b100100z1 7' +b100100z1 D' +1" +#30360000 +0}' +0x' +0?' +0! +0p +#30370000 +1o' +10" +13' +b11111111111111111 ) +1$" +b100100z1 { +b100100z1 *" +1x +#30380000 +0)( +0J' +0y' +0{' +b101001z0 t' +b101001z0 #( +0>' +b100100z0 7' +b100100z0 D' +#30390000 +1}' +1x' +0" +#30410000 +1)( +1{' +b101001z1 t' +b101001z1 #( +#30420000 +1Q +b11010z0 ; +b11010z0 H +10 +#30440000 +1Y +#30460000 +1J +#30480000 +1F +#30500000 +17 +b1 # +b1 / +#30510000 +b1111111111111111111111111111110 1 +#30530000 +b1111111111111111111111111111100 1 +#30550000 +b1111111111111111111111111111000 1 +#30570000 +b1111111111111111111111111110000 1 +#30590000 +b1111111111111111111111111100000 1 +#30610000 +b1111111111111111111111111000000 1 +#30630000 +b1111111111111111111111110000000 1 +#30650000 +b1111111111111111111111100000000 1 +#30670000 +b1111111111111111111111000000000 1 +#30690000 +b1111111111111111111110000000000 1 +#30710000 +b1111111111111111111100000000000 1 +#30730000 +b1111111111111111111000000000000 1 +#30750000 +b1111111111111111110000000000000 1 +#30770000 +b1111111111111111100000000000000 1 +#30790000 +b1111111111111111000000000000000 1 +#30810000 +b1111111111111110000000000000000 1 +#30830000 +b1111111111111100000000000000000 1 +#30850000 +b1111111111111000000000000000000 1 +#30870000 +b1111111111110000000000000000000 1 +#30890000 +b1111111111100000000000000000000 1 +#30910000 +b1111111111000000000000000000000 1 +#30930000 +b1111111110000000000000000000000 1 +#30950000 +b1111111100000000000000000000000 1 +#30970000 +b1111111000000000000000000000000 1 +#30990000 +b1111110000000000000000000000000 1 +#31010000 +b1111100000000000000000000000000 1 +#31030000 +b1111000000000000000000000000000 1 +#31050000 +b1110000000000000000000000000000 1 +#31070000 +b1100000000000000000000000000000 1 +#31090000 +b1000000000000000000000000000000 1 +#31110000 +0$ +b0 1 +#32000000 +0L +0M +0^ +0_ +0." +0/" +0@" +0A" +0s" +0t" +0'# +0(# +0R# +0S# +0d# +0e# +01$ +02$ +0C$ +0D$ +0n$ +0o$ +0"% +0#% +0M% +0N% +0_% +0`% +0,& +0-& +0>& +0?& +0i& +0j& +0{& +0|& +0H' +0I' +0Z' +0[' +0'( +0(( +09( +0:( +0d( +0e( +0v( +0w( +0C) +0D) +0U) +0V) +0"* +0#* +04* +05* +0_* +0`* +0q* +0r* +0>+ +0?+ +0P+ +0Q+ +0{+ +0|+ +0/, +00, +0Z, +0[, +0l, +0m, +09- +0:- +0K- +0L- +0v- +0w- +0*. +0+. +0U. +0V. +0g. +0h. +04/ +05/ +0F/ +0G/ +0q/ +0r/ +0%0 +0&0 +0P0 +0Q0 +0b0 +0c0 +0/1 +001 +0A1 +0B1 +0l1 +0m1 +0~1 +0!2 +0K2 +0L2 +0]2 +0^2 +0*3 +0+3 +0<3 +0=3 +0g3 +0h3 +0y3 +0z3 +0F4 +0G4 +0X4 +0Y4 +0%5 +0&5 +075 +085 +0b5 +0c5 +0t5 +0u5 +03 +0* +b100 4 +b100 , +b100 : +b100 E +b100 v +b100 z +b100 '" +b100 a" +b100 l" +b100 @# +b100 K# +b100 }# +b100 *$ +b100 \$ +b100 g$ +b100 ;% +b100 F% +b100 x% +b100 %& +b100 W& +b100 b& +b100 6' +b100 A' +b100 s' +b100 ~' +b100 R( +b100 ]( +b100 1) +b100 <) +b100 n) +b100 y) +b100 M* +b100 X* +b100 ,+ +b100 7+ +b100 i+ +b100 t+ +b100 H, +b100 S, +b100 '- +b100 2- +b100 d- +b100 o- +b100 C. +b100 N. +b100 "/ +b100 -/ +b100 _/ +b100 j/ +b100 >0 +b100 I0 +b100 {0 +b100 (1 +b100 Z1 +b100 e1 +b100 92 +b100 D2 +b100 v2 +b100 #3 +b100 U3 +b100 `3 +b100 44 +b100 ?4 +b100 q4 +b100 |4 +b100 P5 +b100 [5 +1[" +1:# +1w# +1V$ +15% +1r% +1Q& +00' +0L( +0h) +0&+ +0T1 +032 +0p2 +0O3 +0.4 +0k4 +0J5 +16 +0r +0/' +0l' +0K( +0*) +0g) +0F* +0%+ +0b+ +1~, +1<. +1X/ +1t0 +b100 ' +b100 ( +b100 2 +b111111110000000011111111 & +b111111110000000011111111 . +b11111111111111110000000000000000 % +b11111111111111110000000000000000 - +#32010000 +0+# +0h# +0G$ +0&% +0c% +0B& +0!' +1#2 +1`2 +1?3 +1|3 +1[4 +1:5 +1w5 +0b +1C" +1]' +1^' +1=( +1y( +1z( +1Y) +17* +18* +1u* +1S+ +1T+ +13, +0N- +0j. +0(0 +0D1 +1Z +1[ +1l +1m +0I +1<" +1=" +1N" +1O" +0+" +1## +1$# +15# +16# +0p" +1`# +1a# +1r# +1s# +0O# +1?$ +1@$ +1Q$ +1R$ +0.$ +1|$ +1}$ +10% +11% +0k$ +1[% +1\% +1m% +1n% +0J% +1:& +1;& +1L& +1M& +0)& +1w& +1x& +1+' +1,' +0f& +1V' +1W' +1h' +1i' +0E' +15( +16( +1G( +1H( +0$( +1r( +1s( +1&) +1') +0a( +1Q) +1R) +1c) +1d) +0@) +10* +11* +1B* +1C* +0}) +1m* +1n* +1!+ +1"+ +0\* +1L+ +1M+ +1^+ +1_+ +0;+ +1+, +1,, +1=, +1>, +0x+ +1h, +1i, +1z, +1{, +0W, +1G- +1H- +1Y- +1Z- +06- +1&. +1'. +18. +19. +0s- +1c. +1d. +1u. +1v. +0R. +1B/ +1C/ +1T/ +1U/ +01/ +1!0 +1"0 +130 +140 +0n/ +1^0 +1_0 +1p0 +1q0 +0M0 +1=1 +1>1 +1O1 +1P1 +0,1 +1z1 +1{1 +1.2 +1/2 +0i1 +1Y2 +1Z2 +1k2 +1l2 +0H2 +183 +193 +1J3 +1K3 +0'3 +1u3 +1v3 +1)4 +1*4 +0d3 +1T4 +1U4 +1f4 +1g4 +0C4 +135 +145 +1E5 +1F5 +0"5 +1p5 +1q5 +1$6 +1%6 +0_5 +1@ +b1000z0 b" +b1000z0 o" +b1000z0 A# +b1000z0 N# +b1000z0 ~# +b1000z0 -$ +b1000z0 ]$ +b1000z0 j$ +b1000z0 <% +b1000z0 I% +b1000z0 y% +b1000z0 (& +b1000z0 X& +b1000z0 e& +b101100z1 [1 +b101100z1 h1 +b101100z1 :2 +b101100z1 G2 +b101100z1 w2 +b101100z1 &3 +b101100z1 V3 +b101100z1 c3 +b101100z1 54 +b101100z1 B4 +b101100z1 r4 +b101100z1 !5 +b101100z1 Q5 +b101100z1 ^5 +b1010z0 ; +b1010z0 H +1w +b101100z1 { +b101100z1 *" +b111100z0 7' +b111100z0 D' +b111001z1 t' +b111001z1 #( +b111100z0 S( +b111100z0 `( +b111001z1 2) +b111001z1 ?) +b111100z0 o) +b111100z0 |) +b111001z1 N* +b111001z1 [* +b111100z0 -+ +b111100z0 :+ +b111001z1 j+ +b111001z1 w+ +b100001z1 (- +b100001z1 5- +b100001z0 D. +b100001z0 Q. +b100001z0 `/ +b100001z0 m/ +b100001z0 |0 +b100001z0 +1 +#32020000 +1,# +1i# +1H$ +1'% +1d% +1C& +1"' +0"2 +0_2 +0>3 +0{3 +0Z4 +095 +0v5 +1c +0B" +0\' +0_' +0>( +0x( +0{( +0Z) +06* +09* +0v* +0R+ +0U+ +04, +1M- +1i. +1'0 +1C1 +b101000z0 b" +b101000z0 o" +b101000z0 A# +b101000z0 N# +b101000z0 ~# +b101000z0 -$ +b101000z0 ]$ +b101000z0 j$ +b101000z0 <% +b101000z0 I% +b101000z0 y% +b101000z0 (& +b101000z0 X& +b101000z0 e& +b101000z1 [1 +b101000z1 h1 +b101000z1 :2 +b101000z1 G2 +b101000z1 w2 +b101000z1 &3 +b101000z1 V3 +b101000z1 c3 +b101000z1 54 +b101000z1 B4 +b101000z1 r4 +b101000z1 !5 +b101000z1 Q5 +b101000z1 ^5 +b101010z0 ; +b101010z0 H +b101000z1 { +b101000z1 *" +b11000z0 7' +b11000z0 D' +b11001z1 t' +b11001z1 #( +b11000z0 S( +b11000z0 `( +b11001z1 2) +b11001z1 ?) +b11000z0 o) +b11000z0 |) +b11001z1 N* +b11001z1 [* +b11000z0 -+ +b11000z0 :+ +b11001z1 j+ +b11001z1 w+ +b100101z1 (- +b100101z1 5- +b100101z0 D. +b100101z0 Q. +b100101z0 `/ +b100101z0 m/ +b100101z0 |0 +b100101z0 +1 +0X +0j +0:" +0L" +1)" +0!# +03# +0^# +0p# +0=$ +0O$ +0z$ +0.% +0Y% +0k% +08& +0J& +0u& +0)' +0T' +0f' +1C' +03( +0E( +1"( +0p( +0$) +1_( +0O) +0a) +1>) +0.* +0@* +1{) +0k* +0}* +1Z* +0J+ +0\+ +19+ +0), +0;, +1v+ +0f, +0x, +1U, +0E- +0W- +14- +0$. +06. +1q- +0a. +0s. +1P. +0@/ +0R/ +1// +0}/ +010 +1l/ +0\0 +0n0 +1K0 +0;1 +0M1 +1*1 +0x1 +0,2 +1g1 +0W2 +0i2 +1F2 +063 +0H3 +1%3 +0s3 +0'4 +1b3 +0R4 +0d4 +1A4 +015 +0C5 +1~4 +0n5 +0"6 +1]5 +0? +09' +0u' +0U( +03) +0q) +0O* +0/+ +0k+ +1*- +1F. +1b/ +1~0 +#32030000 +1w" +1V# +15$ +1r$ +1Q% +10& +1m& +1p1 +1O2 +1.3 +1k3 +1J4 +1)5 +1f5 +1P +12" +0+( +0G) +0c* +0!, +0=- +0Y. +0u/ +031 +1R +1d +0F +14" +1F" +1y" +1-# +1X# +1j# +17$ +1I$ +1t$ +1(% +1S% +1e% +12& +1D& +1o& +1#' +1N' +1`' +1-( +1?( +1j( +1|( +1I) +1[) +1(* +1:* +1e* +1w* +1D+ +1V+ +1#, +15, +1`, +1r, +1?- +1Q- +1|- +10. +1[. +1m. +1:/ +1L/ +1w/ +1+0 +1V0 +1h0 +151 +1G1 +1r1 +1&2 +1Q2 +1c2 +103 +1B3 +1m3 +1!4 +1L4 +1^4 +1+5 +1=5 +1h5 +1z5 +1C +1R" +0q' +0/) +0K* +0g+ +1F, +1%- +1b- +1A. +1~. +1]/ +1<0 +1y0 +b101001z0 b" +b101001z0 o" +b101001z0 A# +b101001z0 N# +b101001z0 ~# +b101001z0 -$ +b101001z0 ]$ +b101001z0 j$ +b101001z0 <% +b101001z0 I% +b101001z0 y% +b101001z0 (& +b101001z0 X& +b101001z0 e& +b101001z1 [1 +b101001z1 h1 +b101001z1 :2 +b101001z1 G2 +b101001z1 w2 +b101001z1 &3 +b101001z1 V3 +b101001z1 c3 +b101001z1 54 +b101001z1 B4 +b101001z1 r4 +b101001z1 !5 +b101001z1 Q5 +b101001z1 ^5 +b101011z0 ; +b101011z0 H +b101001z1 { +b101001z1 *" +0:' +b11000z1 t' +b11000z1 #( +1w' +0V( +b11000z1 2) +b11000z1 ?) +15) +0r) +b11000z1 N* +b11000z1 [* +1Q* +00+ +b11000z1 j+ +b11000z1 w+ +1m+ +b100100z1 (- +b100100z1 5- +1+- +b100100z0 D. +b100100z0 Q. +1G. +b100100z0 `/ +b100100z0 m/ +1c/ +b100100z0 |0 +b100100z0 +1 +1!1 +#32040000 +0]" +1=' +0z' +1Y( +08) +1u) +0T* +13+ +0p+ +0.- +0J. +0f/ +0$1 +0Y +0M" +1s +0g' +11' +0F( +1n' +0%) +1M( +0b) +1,) +0A* +1i) +0~* +1H* +0]+ +1'+ +0<, +1d+ +0y, +1C, +0X- +1"- +07. +1_- +0t. +1>. +0S/ +1{. +020 +1Z/ +0o0 +190 +0N1 +1v0 +0-2 +1U1 +0j2 +142 +0I3 +1q2 +0(4 +1P3 +0e4 +1/4 +0D5 +1l4 +0#6 +1K5 +b11111111111111111111111100000001 # +b11111111111111111111111100000001 / +08 +b11111111111111110 ) +0;' +0W( +0s) +01+ +1,- +0T" +#32050000 +1N +b111111zz + +1g" +1W" +07 +b11111111111111111111111100000000 # +b11111111111111111111111100000000 / +15" +1.( +1J) +1f* +1$, +1s, +1@- +1R- +1}- +11. +1n. +1;/ +1M/ +1,0 +1W0 +1i0 +1H1 +1s1 +1R2 +113 +1n3 +1M4 +1,5 +1i5 +1B +b101011z1 ; +b101011z1 H +1p +0v' +04) +0P* +0l+ +1J, +1)- +1f- +1E. +1$/ +1a/ +1@0 +1}0 +1L. +1h/ +1&1 +#32060000 +0o' +0-) +0I* +0e+ +1`- +b1 1 +0f" +1@' +0}' +1\( +0;) +1x) +0W* +16+ +0s+ +01- +0J +0-" +0G' +0&( +0c( +0B) +0!* +0^* +0=+ +0z+ +0Y, +08- +0u- +0T. +03/ +0p/ +0O0 +0.1 +0k1 +0J2 +0)3 +0f3 +0E4 +0$5 +0a5 +03' +0O( +0k) +0)+ +1$- +b111010101011111110 ) +0X" +0w' +05) +0Q* +0m+ +0L, +0+- +0h- +0G. +0&/ +0c/ +0B0 +0!1 +#32070000 +1?. +1|. +1[/ +1:0 +1w0 +1V1 +1W. +1s/ +111 +1y' +17) +1S* +1o+ +0j- +1z' +18) +1T* +1p+ +1O, +1.- +1k- +1J. +1)/ +1f/ +1E0 +1$1 +1S +1j" +1>" +17( +1S) +1o* +1-, +1|, +1I- +1[- +1(. +1:. +1w. +1D/ +1V/ +150 +1`0 +1r0 +1Q1 +1|1 +1[2 +1:3 +1w3 +1V4 +155 +1r5 +0x' +06) +0R* +0n+ +1a- +1@. +1}. +1\/ +1;0 +1x0 +b111111111010101011111110 ) +1K. +b100100z1 D. +b100100z1 Q. +1g/ +b100100z1 `/ +b100100z1 m/ +1%1 +b100100z1 |0 +b100100z1 +1 +#32080000 +0<# +1J' +0)( +1f( +0E) +1$* +0a* +1@+ +0}+ +0;- +0I. +0(/ +0e/ +0D0 +0#1 +0`1 +b11 1 +1i- +0^" +b111111111010101011111100 ) +1>' +b11000z1 7' +b11000z1 D' +0{' +b11000z0 t' +b11000z0 #( +1Z( +b11000z1 S( +b11000z1 `( +09) +b11000z0 2) +b11000z0 ?) +1v) +b11000z1 o) +b11000z1 |) +0U* +b11000z0 N* +b11000z0 [* +14+ +b11000z1 -+ +b11000z1 :+ +0q+ +b11000z0 j+ +b11000z0 w+ +0/- +b100100z0 (- +b100100z0 5- +0)" +0C' +0"( +0_( +0>) +0{) +0Z* +09+ +0v+ +0U, +04- +0q- +0P. +0// +0l/ +0K0 +0*1 +0g1 +0F2 +0%3 +0b3 +0A4 +0~4 +0]5 +0x +0m- +0L. +0+/ +0h/ +0G0 +0&1 +#32090000 +1u" +0N( +0j) +0(+ +0D, +1F# +1H. +1'/ +1d/ +1C0 +1"1 +1_1 +1\. +1x/ +161 +1R, +11- +1n- +1M. +1,/ +1i/ +1H0 +1'1 +1\ +1i" +b101001z1 b" +b101001z1 o" +1?" +18( +1T) +1p* +1., +1}, +1J- +1\- +1). +1;. +1x. +1E/ +1W/ +160 +1a0 +1s0 +1R1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +0p' +0.) +0J* +0f+ +b111111110000000011111100 ) +#32100000 +1X( +1t) +12+ +1N, +b111 1 +0E# +1O' +0.( +1k( +0J) +1)* +0f* +1E+ +0$, +0@- +0c1 +0s +01' +0n' +0M( +0,) +0i) +0H* +0'+ +0d+ +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +0U1 +042 +0q2 +0P3 +0/4 +0l4 +0K5 +b0 # +b0 / +#32110000 +152 +1\, +1;- +0Q +b111111111111111111111111111111zz + +1z" +0\( +0x) +06+ +0R, +0M, +1I# +1W1 +b1111111110000000011111100 ) +1e. +1#0 +1?1 +1P, +b100100z1 I, +b100100z1 V, +1/- +b100100z1 (- +b100100z1 5- +1] +1," +1%( +1A) +1]* +1y+ +1Y, +17- +18- +1t- +1u- +1T. +12/ +13/ +1p/ +1N0 +1O0 +1.1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +b101001z1 ; +b101001z1 H +00 +#32120000 +0y# +0n1 +0?2 +b1111 1 +0=# +b1111111110000000011111000 ) +1X' +07( +1t( +0S) +12* +0o* +1N+ +0-, +0I- +0b1 +b101001z0 [1 +b101001z0 h1 +#32130000 +0f( +0$* +0@+ +0\, +1T# +1%$ +1>2 +1a, +1@- +1%# +0Z( +b11000z0 S( +b11000z0 `( +0v) +b11000z0 o) +b11000z0 |) +04+ +b11000z0 -+ +b11000z0 :+ +0P, +b100100z0 I, +b100100z0 V, +1H# +b101001z1 A# +b101001z1 N# +1f. +1$0 +1@1 +1J +1U, +14- +1q- +1P. +1// +1l/ +1K0 +1*1 +#32140000 +b11111 1 +0$$ +0s1 +0B2 +1Y' +08( +1u( +0T) +13* +0p* +1O+ +0., +0J- +#32150000 +1r2 +0k( +0)* +0E+ +0a, +1Y# +1($ +162 +b11111111110000000011111000 ) +1j, +1I- +1&# +1S. +1o/ +1-1 +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +b111111110000000000000000 # +b111111110000000000000000 / +#32160000 +0X$ +0M2 +0|2 +b111111110000000011111111111111zz + +b111111 1 +0z# +b11111111110000000011110000 ) +0|1 +0A2 +b101001z0 :2 +b101001z0 G2 +1F' +0%( +1b( +0A) +1~) +0]* +1<+ +0y+ +07- +#32170000 +13$ +1b$ +1{2 +0t( +02* +0N+ +0j, +1b# +1'$ +b101001z1 ~# +b101001z1 -$ +1k, +1J- +1q" +#32180000 +b1111111 1 +0a$ +0R2 +0!3 +0}1 +#32190000 +1Q3 +18$ +1e$ +1s2 +b111111111110000000011110000 ) +0u( +03* +0O+ +0k, +1c# +1X, +17- +#32200000 +07% +0,3 +0[3 +b11111111 1 +0Y$ +b111111111110000000011100000 ) +0[2 +0~2 +b101001z0 w2 +b101001z0 &3 +0j1 +#32210000 +1p$ +1A% +1Z3 +1A$ +1d$ +b101001z1 ]$ +b101001z1 j$ +0b( +0~) +0<+ +0X, +1P# +#32220000 +b111111111 1 +0@% +013 +0^3 +0\2 +#32230000 +104 +1u$ +1D% +1R3 +b1111111111110000000011100000 ) +1B$ +#32240000 +0t% +0i3 +0:4 +b1111111111 1 +08% +b1111111111110000000011000000 ) +0:3 +0]3 +b101001z0 V3 +b101001z0 c3 +0I2 +#32250000 +1O% +1~% +194 +1~$ +1C% +b101001z1 <% +b101001z1 I% +1/$ +#32260000 +b11111111111 1 +0}% +0n3 +0=4 +0;3 +#32270000 +1m4 +1T% +1#& +114 +b11111111111110000000011000000 ) +1!% +#32280000 +0S& +0H4 +0w4 +b111111111111 1 +0u% +b11111111111110000000010000000 ) +0w3 +0<4 +b101001z0 54 +b101001z0 B4 +0(3 +#32290000 +1.& +1]& +1v4 +1]% +1"& +b101001z1 y% +b101001z1 (& +1l$ +#32300000 +b1111111111111 1 +0\& +0M4 +0z4 +0x3 +#32310000 +1L5 +13& +1`& +1n4 +b111111111111110000000010000000 ) +1^% +#32320000 +02' +0'5 +0V5 +b11111111111111 1 +0T& +b111111111111110000000000000000 ) +0V4 +0y4 +b101001z0 r4 +b101001z0 !5 +0e3 +#32330000 +1k& +1<' +1U5 +1<& +1_& +b101001z1 X& +b101001z1 e& +1K% +#32340000 +b111111111111111 1 +0@' +0,5 +0Y5 +0W4 +#32350000 +1t +1p& +1M5 +b1111111111111110000000000000000 ) +1=& +#32360000 +0J' +0d5 +0"" +0V" +0>' +b11000z0 7' +b11000z0 D' +055 +0X5 +b101001z0 Q5 +b101001z0 ^5 +0D4 +#32370000 +1!" +1Y" +1U" +1y& +1*& +#32380000 +0O' +0i5 +0%" +065 +1" +#32390000 +1! +1x +1z& +#32400000 +00" +0X' +0r5 +0$" +b101001z0 { +b101001z0 *" +0#5 +#32410000 +1g& +#32420000 +05" +0Y' +0s5 +0" +#32440000 +0>" +0F' +0`5 +#32450000 +1Q +b101011z1 ; +b101011z1 H +10 +#32460000 +0?" +#32480000 +0," +#34000000 +0:# +0V$ +0r% +10' +1L( +1h) +1&+ +0B, +0^- +0z. +080 +132 +1O3 +1k4 +06 +1r +19# +1U$ +1q% +1l' +1*) +1F* +1b+ +0A, +0~, +0]- +0<. +0y. +0X/ +070 +0t0 +15 +b10101010101010100101010110101010 & +b10101010101010100101010110101010 . +b11111111000000001010101001010101 % +b11111111000000001010101001010101 - +#34010000 +0^' +0z( +08* +0T+ +0`2 +0|3 +0:5 +0C" +0=( +0Y) +0u* +03, +1o, +1p, +1N- +1-. +1.. +1j. +1I/ +1J/ +1(0 +1e0 +1f0 +1D1 +b1000z0 7' +b1000z0 D' +b1000z0 S( +b1000z0 `( +b1000z0 o) +b1000z0 |) +b1000z0 -+ +b1000z0 :+ +b100001z0 :2 +b100001z0 G2 +b100001z0 V3 +b100001z0 c3 +b100001z0 r4 +b100001z0 !5 +0w +b100001z0 { +b100001z0 *" +b1000z0 t' +b1000z0 #( +b1000z0 2) +b1000z0 ?) +b1000z0 N* +b1000z0 [* +b1000z0 j+ +b1000z0 w+ +b111100z0 I, +b111100z0 V, +b101100z1 (- +b101100z1 5- +b111100z1 e- +b111100z1 r- +b101100z1 D. +b101100z1 Q. +b111100z1 #/ +b111100z1 0/ +b101100z1 `/ +b101100z1 m/ +b111100z1 ?0 +b111100z1 L0 +b101100z1 |0 +b101100z1 +1 +#34020000 +1_' +1{( +19* +1U+ +1_2 +1{3 +195 +1B" +1>( +1Z) +1v* +14, +0n, +0q, +0M- +0,. +0/. +0i. +0H/ +0K/ +0'0 +0d0 +0g0 +0C1 +b101000z0 7' +b101000z0 D' +b101000z0 S( +b101000z0 `( +b101000z0 o) +b101000z0 |) +b101000z0 -+ +b101000z0 :+ +b100101z0 :2 +b100101z0 G2 +b100101z0 V3 +b100101z0 c3 +b100101z0 r4 +b100101z0 !5 +b100101z0 { +b100101z0 *" +b101000z0 t' +b101000z0 #( +b101000z0 2) +b101000z0 ?) +b101000z0 N* +b101000z0 [* +b101000z0 j+ +b101000z0 w+ +b11000z0 I, +b11000z0 V, +b101000z1 (- +b101000z1 5- +b11000z1 e- +b11000z1 r- +b101000z1 D. +b101000z1 Q. +b11000z1 #/ +b11000z1 0/ +b101000z1 `/ +b101000z1 m/ +b11000z1 ?0 +b11000z1 L0 +b101000z1 |0 +b101000z1 +1 +1B# +1^$ +1z% +1v' +14) +1P* +1l+ +0J, +0)- +0f- +0E. +0$/ +0a/ +0@0 +0}0 +1< +#34030000 +1L' +1h( +1&* +1B+ +0O2 +0k3 +0)5 +02" +1+( +1G) +1c* +1!, +1=- +1Y. +1u/ +131 +0R" +0># +0Z$ +0v% +14' +b101001z0 7' +b101001z0 D' +1P( +b101001z0 S( +b101001z0 `( +1l) +b101001z0 o) +b101001z0 |) +1*+ +b101001z0 -+ +b101001z0 :+ +0F, +0b- +0~. +0<0 +172 +b100100z0 :2 +b100100z0 G2 +1S3 +b100100z0 V3 +b100100z0 c3 +1o4 +b100100z0 r4 +b100100z0 !5 +09 +1y +b100100z0 { +b100100z0 *" +0D# +0`$ +0|% +b101001z0 t' +b101001z0 #( +1w' +b101001z0 2) +b101001z0 ?) +15) +b101001z0 N* +b101001z0 [* +1Q* +b101001z0 j+ +b101001z0 w+ +1m+ +1L, +b101001z1 (- +b101001z1 5- +1+- +1h- +b101001z1 D. +b101001z1 Q. +1G. +1&/ +b101001z1 `/ +b101001z1 m/ +1c/ +1B0 +b101001z1 |0 +b101001z1 +1 +1!1 +0> +#34040000 +1y# +17% +1S& +0#- +1]" +1G# +1c$ +1!& +0z' +08) +0T* +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +1A +1d2 +1"4 +1>5 +1G" +0s, +0R- +01. +0n. +0M/ +0,0 +0i0 +0H1 +1=# +1Y$ +1u% +0E, +18 +b1111111111111100000000001010101 ) +1T" +#34050000 +0%$ +0A% +0]& +1-- +0g" +0W" +0B# +0^$ +0z% +19' +1U( +1q) +1/+ +0K, +0g- +0%/ +0A0 +1;2 +1W3 +1s4 +0< +1| +0I# +0e$ +0#& +1|' +1:) +1V* +1r+ +1Q, +0C +#34060000 +1$$ +1@% +1\& +0,- +1f" +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +1m2 +1+4 +1G5 +1P" +0|, +0[- +0:. +0w. +0V/ +050 +0r0 +0Q1 +1D# +1`$ +1|% +1:' +1V( +1r) +10+ +0L, +0h- +0&/ +0B0 +0=2 +0Y3 +0u4 +1> +0~ +#34070000 +0y# +07% +0S& +0]" +0T# +0p$ +0.& +1)( +1E) +1a* +1}+ +1\, +0N +0G# +0c$ +0!& +0=' +0Y( +0u) +03+ +1O, +1k- +1)/ +1E0 +1@2 +1\3 +1x4 +0A +1#" +0($ +0D% +0`& +10- +0j" +0Y" +0=# +0Y$ +0u% +0i- +0'/ +0C0 +08 +b1111111111111100000000000000000 ) +0H# +b101001z0 A# +b101001z0 N# +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +1P, +b11000z1 I, +b11000z1 V, +0B +b101011z0 ; +b101011z0 H +#34080000 +1X$ +1t% +12' +0`- +1<# +0x- +0W. +06/ +0s/ +0R0 +011 +1%$ +1A% +1]& +1g" +1z# +18% +1T& +0$- +1^" +b1111111111111000000000010101010 ) +0l- +b11000z0 e- +b11000z0 r- +0K. +b101001z0 D. +b101001z0 Q. +0*/ +b11000z0 #/ +b11000z0 0/ +0g/ +b101001z0 `/ +b101001z0 m/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0%1 +b101001z0 |0 +b101001z0 +1 +1n2 +1,4 +1H5 +1Q" +0}, +0\- +0;. +0x. +0W/ +060 +0s0 +0R1 +1I# +1e$ +1#& +1?' +1[( +1w) +15+ +0Q, +1C +#34090000 +03$ +0O% +0k& +0u" +0?. +0[/ +0w0 +0b$ +0~% +0<' +1j- +0F# +0$$ +0@% +0\& +0f" +0Y# +0u$ +03& +1.( +1J) +1f* +1$, +1a, +0S +1,/ +1H0 +1C2 +1_3 +1{4 +1&" +0'$ +b101001z0 ~# +b101001z0 -$ +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +0i" +b101001z0 b" +b101001z0 o" +0x +0a- +0}. +0;0 +b1111111101010000000000010101010 ) +#34100000 +1T# +1p$ +1.& +1J' +1f( +1$* +1@+ +0\, +1N +1I. +1e/ +1#1 +1a$ +1}% +1;' +1E# +0}- +0\. +0;/ +0x/ +0W0 +061 +1($ +1D% +1`& +1j" +1J2 +1f3 +1$5 +1-" +0Y, +08- +0u- +0T. +03/ +0p/ +0O0 +0.1 +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +0P, +b11000z0 I, +b11000z0 V, +1B +b101011z1 ; +b101011z1 H +#34110000 +0X$ +0t% +02' +0<# +16/ +1R0 +1M2 +1i3 +1'5 +10" +08$ +0T% +0p& +0z" +0H. +0d/ +0"1 +0e$ +0#& +0?' +0I# +0z# +08% +0T& +0^" +b1111111101010000000000000000000 ) +0b# +0~$ +0<& +17( +1S) +1o* +1-, +1j, +0\ +1*/ +b11000z1 #/ +b11000z1 0/ +1F0 +b11000z1 ?0 +b11000z1 L0 +1A2 +b100100z1 :2 +b100100z1 G2 +1]3 +b100100z1 V3 +b100100z1 c3 +1y4 +b100100z1 r4 +b100100z1 !5 +1$" +b100100z1 { +b100100z1 *" +#34120000 +17% +1S& +1o' +1y# +13$ +1O% +1k& +1u" +0Q +1b$ +1~% +1<' +1F# +1Y# +1u$ +13& +1O' +1k( +1)* +1E+ +0a, +1S +1L. +1h/ +1&1 +1Y$ +1u% +13' +1=# +b1111111101010000000000101010100 ) +0(. +0e. +0D/ +0#0 +0`0 +0?1 +1'$ +b101001z1 ~# +b101001z1 -$ +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1i" +b101001z1 b" +b101001z1 o" +1F2 +1b3 +1~4 +1)" +0U, +04- +0q- +0P. +0// +0l/ +0K0 +0*1 +b101001z1 ; +b101001z1 H +00 +#34130000 +0|. +0:0 +0V1 +0p$ +0.& +0J' +0T# +0A% +0]& +0y' +0%$ +0a$ +0}% +0;' +0E# +1;/ +1W0 +1R2 +1n3 +1,5 +15" +0A$ +0]% +0y& +0%# +0@. +0\/ +0x0 +b1111111000000000000000101010100 ) +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +0H# +b101001z0 A# +b101001z0 N# +0c# +0!% +0=& +18( +1T) +1p* +1., +1k, +0] +#34140000 +1W. +1s/ +111 +1(/ +1D0 +1`1 +1@% +1\& +1x' +1$$ +18$ +1T% +1p& +1z" +1e$ +1#& +1?' +1I# +1b# +1~$ +1<& +1X' +1t( +12* +1N+ +0j, +1\ +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +0). +0f. +0E/ +0$0 +0a0 +0@1 +142 +1P3 +1l4 +1s +0C, +0"- +0_- +0>. +0{. +0Z/ +090 +0v0 +b10101010000000000000000000000000 # +b10101010000000000000000000000000 / +#34150000 +07% +0S& +0o' +0y# +b10101011111111111111111111111zz + +0,/ +0H0 +0_1 +0u$ +03& +0O' +0Y# +0D% +0`& +0|' +0($ +0Y$ +0u% +03' +0=# +b1111111000000000000000000000000 ) +1D/ +1`0 +1[2 +1w3 +155 +1>" +0B$ +0^% +0z& +0&# +0P# +0l$ +0*& +1%( +1A) +1]* +1y+ +1X, +0J +#34160000 +1t% +12' +1N( +1X$ +1p$ +1.& +1J' +1T# +1A% +1]& +1y' +1%$ +1\. +1x/ +161 +1c1 +18% +1T& +1p' +1z# +b1111111000000000000001010101000 ) +1A$ +1]% +1y& +1%# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1H# +b101001z1 A# +b101001z1 N# +1c# +1!% +1=& +1Y' +1u( +13* +1O+ +0k, +1] +0t- +0S. +02/ +0o/ +0N0 +0-1 +#34170000 +06/ +0R0 +052 +0O% +0k& +0)( +03$ +0~% +0<' +0X( +0b$ +b1111111111111111 1 +0@% +0\& +0x' +0$$ +0*/ +b11000z0 #/ +b11000z0 0/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0W1 +b1111110000000000000001010101000 ) +0~$ +0<& +0X' +0b# +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +0{' +b101001z0 t' +b101001z0 #( +0'$ +b101001z0 ~# +b101001z0 -$ +1E/ +1a0 +1\2 +1x3 +165 +1?" +0/$ +0K% +0g& +0q" +#34180000 +1n1 +1?2 +1}% +1;' +1W( +1a$ +1u$ +13& +1O' +1Y# +1D% +1`& +1|' +1($ +1e. +1#0 +1?1 +1b1 +b101001z1 [1 +b101001z1 h1 +1B$ +1^% +1z& +1&# +1P# +1l$ +1*& +1F' +1b( +1~) +1<+ +0X, +1J +#34190000 +0t% +02' +0N( +0X$ +b11111111111111111 1 +0;/ +0W0 +0C2 +0>2 +0T% +0p& +0.( +08$ +0#& +0?' +0[( +0e$ +08% +0T& +0p' +0z# +b1111110000000000000000000000000 ) +0!% +0=& +0Y' +0c# +12/ +1N0 +1I2 +1e3 +1#5 +1," +#34200000 +1S& +1o' +1-) +17% +1O% +1k& +1)( +13$ +1~% +1<' +1X( +1b$ +1s1 +1u% +13' +1O( +1Y$ +b1111110000000000000010101010000 ) +1~$ +1<& +1X' +1b# +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1{' +b101001z1 t' +b101001z1 #( +1'$ +b101001z1 ~# +b101001z1 -$ +1f. +1$0 +1@1 +1/$ +1K% +1g& +1q" +#34210000 +0M2 +0.& +0J' +0f( +0p$ +0]& +0y' +07) +0A% +b111111111111111111 1 +0}% +0;' +0W( +0a$ +0D/ +0`0 +0A2 +b100100z0 :2 +b100100z0 G2 +0]% +0y& +07( +0A$ +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +0Z( +b101001z0 S( +b101001z0 `( +0d$ +b101001z0 ]$ +b101001z0 j$ +0l$ +0*& +0F' +0P# +#34220000 +1\& +1x' +16) +1@% +1T% +1p& +1.( +18$ +1#& +1?' +1[( +1e$ +1|1 +1!% +1=& +1Y' +1c# +1S. +1o/ +1-1 +#34230000 +0S& +0o' +0-) +07% +b1111111111111111111 1 +0R2 +03& +0O' +0k( +0u$ +0`& +0|' +0:) +0D% +0u% +03' +0O( +0Y$ +b1111110000000000000000000000000 ) +0E/ +0a0 +0^% +0z& +08( +0B$ +#34240000 +12' +1N( +1j) +1t% +1.& +1J' +1f( +1p$ +1]& +1y' +17) +1A% +1T& +1p' +1.) +18% +b1111110000000000000101010100000 ) +1]% +1y& +17( +1A$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1d$ +b101001z1 ]$ +b101001z1 j$ +1}1 +1l$ +1*& +1F' +1P# +#34250000 +0k& +0)( +0E) +0O% +0<' +0X( +0t) +0~% +b11111111111111111111 1 +0\& +0x' +06) +0@% +0[2 +0<& +0X' +0t( +0~$ +0_& +b101001z0 X& +b101001z0 e& +0{' +b101001z0 t' +b101001z0 #( +09) +b101001z0 2) +b101001z0 ?) +0C% +b101001z0 <% +b101001z0 I% +02/ +0N0 +0K% +0g& +0%( +0/$ +#34260000 +1;' +1W( +1s) +1}% +13& +1O' +1k( +1u$ +1`& +1|' +1:) +1D% +1^% +1z& +18( +1B$ +1j1 +#34270000 +02' +0N( +0j) +0t% +b111111111111111111111 1 +0p& +0.( +0J) +0T% +0?' +0[( +0w) +0#& +0T& +0p' +0.) +08% +b1111110000000000000000000000000 ) +0\2 +0=& +0Y' +0u( +0!% +#34280000 +1o' +1-) +1I* +1S& +1k& +1)( +1E) +1O% +1<' +1X( +1t) +1~% +13' +1O( +1k) +1u% +b1111110000000000001010101000000 ) +1<& +1X' +1t( +1~$ +1_& +b101001z1 X& +b101001z1 e& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1C% +b101001z1 <% +b101001z1 I% +1K% +1g& +1%( +1/$ +#34290000 +0J' +0f( +0$* +0.& +0y' +07) +0S* +0]& +b1111111111111111111111 1 +0;' +0W( +0s) +0}% +0y& +07( +0S) +0]% +0>' +b101001z0 7' +b101001z0 D' +0Z( +b101001z0 S( +b101001z0 `( +0v) +b101001z0 o) +b101001z0 |) +0"& +b101001z0 y% +b101001z0 (& +0I2 +0*& +0F' +0b( +0l$ +#34300000 +1x' +16) +1R* +1\& +1p& +1.( +1J) +1T% +1?' +1[( +1w) +1#& +1=& +1Y' +1u( +1!% +#34310000 +0o' +0-) +0I* +0S& +b11111111111111111111111 1 +0O' +0k( +0)* +03& +0|' +0:) +0V* +0`& +03' +0O( +0k) +0u% +b1111110000000000000000000000000 ) +0z& +08( +0T) +0^% +#34320000 +1N( +1j) +1(+ +12' +1J' +1f( +1$* +1.& +1y' +17) +1S* +1]& +1p' +1.) +1J* +1T& +b1111110000000000010101010000000 ) +1y& +17( +1S) +1]% +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +1"& +b101001z1 y% +b101001z1 (& +1*& +1F' +1b( +1l$ +#34330000 +0)( +0E) +0a* +0k& +0X( +0t) +02+ +0<' +b111111111111111111111111 1 +0x' +06) +0R* +0\& +0X' +0t( +02* +0<& +0{' +b101001z0 t' +b101001z0 #( +09) +b101001z0 2) +b101001z0 ?) +0U* +b101001z0 N* +b101001z0 [* +0_& +b101001z0 X& +b101001z0 e& +0g& +0%( +0A) +0K% +#34340000 +1W( +1s) +11+ +1;' +1O' +1k( +1)* +13& +1|' +1:) +1V* +1`& +1z& +18( +1T) +1^% +#34350000 +0N( +0j) +0(+ +02' +0.( +0J) +0f* +0p& +0[( +0w) +05+ +0?' +0p' +0.) +0J* +0T& +b1111110000000000000000000000000 ) +0Y' +0u( +03* +0=& +#34360000 +1-) +1I* +1e+ +1o' +1)( +1E) +1a* +1k& +1X( +1t) +12+ +1<' +1O( +1k) +1)+ +13' +b1111110000000000101010100000000 ) +1X' +1t( +12* +1<& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1_& +b101001z1 X& +b101001z1 e& +1g& +1%( +1A) +1K% +#34370000 +0f( +0$* +0@+ +0J' +07) +0S* +0o+ +0y' +0W( +0s) +01+ +0;' +07( +0S) +0o* +0y& +0Z( +b101001z0 S( +b101001z0 `( +0v) +b101001z0 o) +b101001z0 |) +04+ +b101001z0 -+ +b101001z0 :+ +0>' +b101001z0 7' +b101001z0 D' +0F' +0b( +0~) +0*& +#34380000 +16) +1R* +1n+ +1x' +1.( +1J) +1f* +1p& +1[( +1w) +15+ +1?' +1Y' +1u( +13* +1=& +#34390000 +0-) +0I* +0e+ +0o' +0k( +0)* +0E+ +0O' +0:) +0V* +0r+ +0|' +0O( +0k) +0)+ +03' +b1111110000000000000000000000000 ) +08( +0T) +0p* +0z& +#34400000 +1j) +1(+ +1D, +1N( +1f( +1$* +1@+ +1J' +17) +1S* +1o+ +1y' +1.) +1J* +1f+ +1p' +b1111110000000001010101000000000 ) +17( +1S) +1o* +1y& +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1>' +b101001z1 7' +b101001z1 D' +1F' +1b( +1~) +1*& +#34410000 +0E) +0a* +0}+ +0)( +0t) +02+ +0N, +0X( +06) +0R* +0n+ +0x' +0t( +02* +0N+ +0X' +09) +b101001z0 2) +b101001z0 ?) +0U* +b101001z0 N* +b101001z0 [* +0q+ +b101001z0 j+ +b101001z0 w+ +0{' +b101001z0 t' +b101001z0 #( +0%( +0A) +0]* +0g& +#34420000 +1s) +11+ +1R, +1W( +1k( +1)* +1E+ +1O' +1:) +1V* +1r+ +1|' +18( +1T) +1p* +1z& +#34430000 +0j) +0(+ +0D, +0N( +0J) +0f* +0$, +0.( +0w) +05+ +0[( +0.) +0J* +0f+ +0p' +b1111110000000000000000000000000 ) +0u( +03* +0O+ +0Y' +#34440000 +1I* +1e+ +1\, +1-) +1E) +1a* +1}+ +1)( +1t) +12+ +1N, +1X( +1k) +1)+ +1P, +b11000z1 I, +b11000z1 V, +1O( +b1111110000000000101010000000000 ) +1t( +12* +1N+ +1X' +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +1{' +b101001z1 t' +b101001z1 #( +1%( +1A) +1]* +1g& +#34450000 +0$* +0@+ +0f( +0S* +0o+ +07) +0s) +01+ +0R, +0W( +0S) +0o* +0-, +07( +0v) +b101001z0 o) +b101001z0 |) +04+ +b101001z0 -+ +b101001z0 :+ +0Z( +b101001z0 S( +b101001z0 `( +0b( +0~) +0<+ +0F' +#34460000 +1R* +1n+ +1a, +16) +1J) +1f* +1$, +1.( +1w) +15+ +1[( +1u( +13* +1O+ +1Y' +#34470000 +0I* +0e+ +0\, +0-) +0)* +0E+ +0k( +0V* +0r+ +0:) +0k) +0)+ +0P, +b11000z0 I, +b11000z0 V, +0O( +b1111110000000000000000000000000 ) +0T) +0p* +0., +08( +#34480000 +1(+ +1D, +1j) +1$* +1@+ +1f( +1S* +1o+ +17) +1J* +1f+ +1j, +1.) +b1111110000000001010100000000000 ) +1S) +1o* +1-, +17( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1Z( +b101001z1 S( +b101001z1 `( +1b( +1~) +1<+ +1F' +#34490000 +0a* +0}+ +0E) +02+ +0N, +0t) +0R* +0n+ +0a, +06) +02* +0N+ +0t( +0U* +b101001z0 N* +b101001z0 [* +0q+ +b101001z0 j+ +b101001z0 w+ +09) +b101001z0 2) +b101001z0 ?) +0A) +0]* +0y+ +0%( +#34500000 +11+ +1R, +1s) +1)* +1E+ +1k( +1V* +1r+ +1:) +1k, +1T) +1p* +1., +18( +#34510000 +0(+ +0D, +0j) +0f* +0$, +0J) +05+ +0w) +0J* +0f+ +0j, +0.) +b1111110000000000000000000000000 ) +03* +0O+ +0u( +#34520000 +1e+ +1\, +1I* +1a* +1}+ +1E) +12+ +1N, +1t) +1)+ +1P, +b11000z1 I, +b11000z1 V, +1k) +b1111110000000000101000000000000 ) +12* +1N+ +1t( +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +19) +b101001z1 2) +b101001z1 ?) +1X, +1A) +1]* +1y+ +1%( +#34530000 +0@+ +0$* +0o+ +0S* +01+ +0R, +0s) +0o* +0-, +0S) +04+ +b101001z0 -+ +b101001z0 :+ +0v) +b101001z0 o) +b101001z0 |) +0k, +0~) +0<+ +0b( +#34540000 +1n+ +1a, +1R* +1f* +1$, +1J) +15+ +1w) +13* +1O+ +1u( +#34550000 +0e+ +0\, +0I* +0E+ +0)* +0r+ +0V* +0)+ +0P, +b11000z0 I, +b11000z0 V, +0k) +b1111110000000000000000000000000 ) +0p* +0., +0T) +0X, +#34560000 +1D, +1(+ +1@+ +1$* +1o+ +1S* +1f+ +1j, +1J* +b1111110000000001010000000000000 ) +1o* +1-, +1S) +14+ +b101001z1 -+ +b101001z1 :+ +1v) +b101001z1 o) +b101001z1 |) +1~) +1<+ +1b( +#34570000 +0}+ +0a* +0N, +02+ +0n+ +0a, +0R* +0N+ +02* +0q+ +b101001z0 j+ +b101001z0 w+ +0U* +b101001z0 N* +b101001z0 [* +0]* +0y+ +0A) +#34580000 +1R, +11+ +1E+ +1)* +1r+ +1V* +1k, +1p* +1., +1T) +#34590000 +0D, +0(+ +0$, +0f* +05+ +0f+ +0j, +0J* +b1111110000000000000000000000000 ) +0O+ +03* +#34600000 +1\, +1e+ +1}+ +1a* +1N, +12+ +1P, +b11000z1 I, +b11000z1 V, +1)+ +b1111110000000000100000000000000 ) +1N+ +12* +1q+ +b101001z1 j+ +b101001z1 w+ +1U* +b101001z1 N* +b101001z1 [* +1X, +1]* +1y+ +1A) +#34610000 +0@+ +0o+ +0R, +01+ +0-, +0o* +04+ +b101001z0 -+ +b101001z0 :+ +0k, +0<+ +0~) +#34620000 +1a, +1n+ +1$, +1f* +15+ +1O+ +13* +#34630000 +0\, +0e+ +0E+ +0r+ +0P, +b11000z0 I, +b11000z0 V, +0)+ +b1111110000000000000000000000000 ) +0., +0p* +0X, +#34640000 +1D, +1@+ +1o+ +1j, +1f+ +b1111110000000001000000000000000 ) +1-, +1o* +14+ +b101001z1 -+ +b101001z1 :+ +1<+ +1~) +#34650000 +0}+ +0N, +0a, +0n+ +0N+ +0q+ +b101001z0 j+ +b101001z0 w+ +0y+ +0]* +#34660000 +1R, +1E+ +1r+ +1k, +1., +1p* +#34670000 +0D, +0$, +0j, +0f+ +b1111110000000000000000000000000 ) +0O+ +#34680000 +1\, +1}+ +1N, +1P, +b11000z1 I, +b11000z1 V, +1N+ +1q+ +b101001z1 j+ +b101001z1 w+ +1X, +1y+ +1]* +#34690000 +0R, +0-, +0k, +0<+ +#34700000 +1a, +1$, +1O+ +#34710000 +0\, +0P, +b11000z0 I, +b11000z0 V, +0., +0X, +#34720000 +1j, +1-, +1<+ +#34730000 +0a, +0y+ +#34740000 +1k, +1., +#34750000 +0j, +#34760000 +1X, +1y+ +#34770000 +0k, +#34790000 +0X, +#36000000 +1L +1^ +1." +1@" +1s" +1'# +1R# +1d# +11$ +1C$ +1n$ +1"% +1M% +1_% +1,& +1>& +1i& +1{& +1H' +1Z' +1'( +19( +1d( +1v( +1C) +1U) +1"* +14* +1_* +1q* +1>+ +1P+ +1{+ +1/, +1Z, +1l, +19- +1K- +1v- +1*. +1U. +1g. +14/ +1F/ +1q/ +1%0 +1P0 +1b0 +1/1 +1A1 +1l1 +1~1 +1K2 +1]2 +1*3 +1<3 +1g3 +1y3 +1F4 +1X4 +1%5 +175 +1b5 +1t5 +b101 4 +b101 , +b101 : +b101 E +b101 v +b101 z +b101 '" +b101 a" +b101 l" +b101 @# +b101 K# +b101 }# +b101 *$ +b101 \$ +b101 g$ +b101 ;% +b101 F% +b101 x% +b101 %& +b101 W& +b101 b& +b101 6' +b101 A' +b101 s' +b101 ~' +b101 R( +b101 ]( +b101 1) +b101 <) +b101 n) +b101 y) +b101 M* +b101 X* +b101 ,+ +b101 7+ +b101 i+ +b101 t+ +b101 H, +b101 S, +b101 '- +b101 2- +b101 d- +b101 o- +b101 C. +b101 N. +b101 "/ +b101 -/ +b101 _/ +b101 j/ +b101 >0 +b101 I0 +b101 {0 +b101 (1 +b101 Z1 +b101 e1 +b101 92 +b101 D2 +b101 v2 +b101 #3 +b101 U3 +b101 `3 +b101 44 +b101 ?4 +b101 q4 +b101 |4 +b101 P5 +b101 [5 +1:# +1V$ +1r% +00' +0L( +0h) +0&+ +1B, +1^- +1z. +180 +032 +0O3 +0k4 +16 +0r +09# +0U$ +0q% +0l' +0*) +0F* +0b+ +1A, +1~, +1]- +1<. +1y. +1X/ +170 +1t0 +05 +b101 ' +b101 ( +b101 2 +b111111110000000011111111 & +b111111110000000011111111 . +b11111111111111110000000000000000 % +b11111111111111110000000000000000 - +#36010000 +1^' +1z( +18* +1T+ +1`2 +1|3 +1:5 +1C" +1=( +1Y) +1u* +13, +0o, +0p, +0N- +0-. +0.. +0j. +0I/ +0J/ +0(0 +0e0 +0f0 +0D1 +0Z +0l +0<" +0N" +0## +05# +0`# +0r# +0?$ +0Q$ +0|$ +00% +0[% +0m% +0:& +0L& +0w& +0+' +0V' +0h' +05( +0G( +0r( +0&) +0Q) +0c) +00* +0B* +0m* +0!+ +0L+ +0^+ +0+, +0=, +0h, +0z, +0G- +0Y- +0&. +08. +0c. +0u. +0B/ +0T/ +0!0 +030 +0^0 +0p0 +0=1 +0O1 +0z1 +0.2 +0Y2 +0k2 +083 +0J3 +0u3 +0)4 +0T4 +0f4 +035 +0E5 +0p5 +0$6 +b111001z1 7' +b111001z1 D' +b111001z1 S( +b111001z1 `( +b111001z1 o) +b111001z1 |) +b111001z1 -+ +b111001z1 :+ +b101100z0 :2 +b101100z0 G2 +b101100z1 V3 +b101100z1 c3 +b101100z1 r4 +b101100z1 !5 +1w +b101100z1 { +b101100z1 *" +b111001z1 t' +b111001z1 #( +b111001z1 2) +b111001z1 ?) +b111001z1 N* +b111001z1 [* +b111001z1 j+ +b111001z1 w+ +b0z0 I, +b0z0 V, +b100001z1 (- +b100001z1 5- +b0z0 e- +b0z0 r- +b100001z1 D. +b100001z1 Q. +b0z0 #/ +b0z0 0/ +b100001z1 `/ +b100001z1 m/ +b0z0 ?0 +b0z0 L0 +b100001z1 |0 +b100001z1 +1 +#36020000 +0_' +0{( +09* +0U+ +0_2 +0{3 +095 +0B" +0>( +0Z) +0v* +04, +1n, +1q, +1M- +1,. +1/. +1i. +1H/ +1K/ +1'0 +1d0 +1g0 +1C1 +b11001z1 7' +b11001z1 D' +b11001z1 S( +b11001z1 `( +b11001z1 o) +b11001z1 |) +b11001z1 -+ +b11001z1 :+ +b101000z0 :2 +b101000z0 G2 +b101000z1 V3 +b101000z1 c3 +b101000z1 r4 +b101000z1 !5 +b101000z1 { +b101000z1 *" +b11001z1 t' +b11001z1 #( +b11001z1 2) +b11001z1 ?) +b11001z1 N* +b11001z1 [* +b11001z1 j+ +b11001z1 w+ +b100100z0 I, +b100100z0 V, +b100101z1 (- +b100101z1 5- +b100100z0 e- +b100100z0 r- +b100101z1 D. +b100101z1 Q. +b100100z0 #/ +b100100z0 0/ +b100101z1 `/ +b100101z1 m/ +b100100z0 ?0 +b100100z0 L0 +b100101z1 |0 +b100101z1 +1 +1T +1f +16" +1H" +1{" +1/# +1Z# +1l# +19$ +1K$ +1v$ +1*% +1U% +1g% +14& +1F& +1q& +1%' +1P' +1b' +1/( +1A( +1l( +1~( +1K) +1]) +1** +1<* +1g* +1y* +1F+ +1X+ +1%, +17, +1b, +1t, +1A- +1S- +1~- +12. +1]. +1o. +1# +1Z$ +1v% +04' +b11000z1 7' +b11000z1 D' +0P( +b11000z1 S( +b11000z1 `( +0l) +b11000z1 o) +b11000z1 |) +0*+ +b11000z1 -+ +b11000z1 :+ +1F, +1b- +1~. +1<0 +072 +b101001z0 :2 +b101001z0 G2 +0S3 +b101001z1 V3 +b101001z1 c3 +0o4 +b101001z1 r4 +b101001z1 !5 +19 +0y +b101001z1 { +b101001z1 *" +0D# +0`$ +0|% +b11000z1 t' +b11000z1 #( +0w' +b11000z1 2) +b11000z1 ?) +05) +b11000z1 N* +b11000z1 [* +0Q* +b11000z1 j+ +b11000z1 w+ +0m+ +1L, +b100100z1 (- +b100100z1 5- +0+- +1h- +b100100z1 D. +b100100z1 Q. +0G. +1&/ +b100100z1 `/ +b100100z1 m/ +0c/ +1B0 +b100100z1 |0 +b100100z1 +1 +0!1 +0> +#36040000 +1`- +1|. +1:0 +1V1 +1G# +1c$ +1!& +1z' +18) +1T* +1p+ +0O, +1.- +0k- +1J. +0)/ +1f/ +0E0 +1$1 +1A +0d2 +0"4 +0>5 +0G" +xU +1g +x7" +1I" +x|" +10# +x[# +1m# +x:$ +1L$ +xw$ +1+% +xV% +1h% +x5& +1G& +xr& +1&' +xQ' +1c' +x0( +1B( +xm( +1!) +xL) +1^) +x+* +1=* +xh* +1z* +xG+ +1Y+ +x&, +18, +xc, +xB- +x!. +x^. +x=/ +xz/ +xY0 +x81 +xu1 +1)2 +xT2 +1f2 +x33 +1E3 +xp3 +1$4 +xO4 +1a4 +x.5 +1@5 +xk5 +1}5 +1$- +1@. +1\/ +1x0 +b1111110101010100000000000000000 ) +0T" +#36050000 +0j- +0(/ +0D0 +0`1 +1W" +0S +05" +0z" +0Y# +08$ +0u$ +0T% +03& +0p& +0O' +0.( +0k( +0J) +0)* +0f* +0E+ +0$, +0@- +0\. +0x/ +061 +0s1 +0n3 +0,5 +1C# +1_$ +1{% +09' +0U( +0q) +0/+ +1J, +1f- +1$/ +1@0 +0;2 +0W3 +0s4 +1= +0| +0I# +0e$ +0#& +0|' +0:) +0V* +0r+ +1Q, +00- +1m- +0L. +1+/ +0h/ +1G0 +0&1 +0C +#36060000 +1i- +1'/ +1C0 +1_1 +1n +17# +1t# +1S$ +12% +1o% +1N& +1-' +1j' +1I( +1() +1e) +1D* +1#+ +1`+ +1?, +xj, +x(. +xD/ +x`0 +102 +x[2 +x:3 +1L3 +xV4 +1h4 +xr5 +1&6 +1D# +1`$ +1|% +0:' +0V( +0r) +00+ +0L, +0h- +0&/ +0B0 +1=2 +1Y3 +1u4 +1> +1~ +#36070000 +1#- +1?. +1[/ +1w0 +0r2 +0T# +0p$ +0.& +0)( +0E) +0a* +0}+ +1\, +0;- +1x- +0W. +16/ +0s/ +1R0 +011 +0N +0G# +0c$ +0!& +1=' +1Y( +1u) +13+ +1O, +1k- +1)/ +1E0 +0@2 +0\3 +0x4 +0A +0#" +0m- +0+/ +0G0 +0c1 +1Y" +x\ +x>" +x%# +xb# +xA$ +x~$ +x]% +x<& +xy& +xX' +x7( +xt( +xS) +x2* +xo* +xN+ +x-, +xI- +xe. +x#0 +x?1 +x|1 +xw3 +x55 +1E, +1a- +1}. +1;0 +062 +b1111100111111110000000000000000 ) +0H# +b101001z0 A# +b101001z0 N# +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +1P, +b100100z1 I, +b100100z1 V, +0/- +b100100z0 (- +b100100z0 5- +1l- +b100100z1 e- +b100100z1 r- +0K. +b100100z0 D. +b100100z0 Q. +1*/ +b100100z1 #/ +b100100z1 0/ +0g/ +b100100z0 `/ +b100100z0 m/ +1F0 +b100100z1 ?0 +b100100z1 L0 +0%1 +b100100z0 |0 +b100100z0 +1 +0B +b101001z0 ; +b101001z0 H +#36080000 +152 +0-- +0I. +0e/ +0#1 +1|2 +1W1 +b1111101111111110000000000000000 ) +1o +18# +1u# +1T$ +13% +1p% +1O& +1.' +1k' +1J( +1)) +1f) +1E* +1$+ +1a+ +1@, +xk, +x). +xE/ +xa0 +112 +x\2 +x;3 +1M3 +xW4 +1i4 +xs5 +1'6 +1I# +1e$ +1#& +0?' +0[( +0w) +05+ +0Q, +1B2 +1C +#36090000 +0x- +06/ +0R0 +0n1 +0?2 +11- +1,- +1M. +1H. +1i/ +1d/ +1'1 +1"1 +0{2 +1n- +1,/ +1H0 +0_3 +0{4 +0&" +0l- +b100100z0 e- +b100100z0 r- +0*/ +b100100z0 #/ +b100100z0 0/ +0F0 +b100100z0 ?0 +b100100z0 L0 +0b1 +b101001z0 [1 +b101001z0 h1 +1x +x] +x?" +x&# +xc# +xB$ +x!% +x^% +x=& +xz& +xY' +x8( +xu( +xT) +x3* +xp* +xO+ +x., +xJ- +xf. +x$0 +x@1 +x}1 +xx3 +x65 +#36100000 +1T# +1p$ +1.& +0J' +0f( +0$* +0@+ +0\, +1M2 +1N +1>2 +1!3 +1K +1r" +1Q# +10$ +1m$ +1L% +1+& +1h& +1G' +1&( +1c( +1B) +1!* +1^* +1=+ +1z+ +xX, +xt- +x2/ +xN0 +1k1 +xI2 +x(3 +1)3 +xD4 +1E4 +x`5 +1a5 +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +0>' +b11000z0 7' +b11000z0 D' +0Z( +b11000z0 S( +b11000z0 `( +0v) +b11000z0 o) +b11000z0 |) +04+ +b11000z0 -+ +b11000z0 :+ +0P, +b100100z0 I, +b100100z0 V, +1A2 +b101001z1 :2 +b101001z1 G2 +1B +b101001z1 ; +b101001z1 H +#36110000 +1;- +1W. +1s/ +111 +0Q3 +1x- +16/ +1R0 +0i3 +0'5 +00" +0B2 +1/- +b100100z1 (- +b100100z1 5- +1K. +b100100z1 D. +b100100z1 Q. +1g/ +b100100z1 `/ +b100100z1 m/ +1%1 +b100100z1 |0 +b100100z1 +1 +0s2 +b1111001111111110000000000000000 ) +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +xJ +x," +xq" +xP# +x/$ +xl$ +xK% +x*& +xg& +xF' +x%( +xb( +xA) +x~) +x]* +x<+ +xy+ +x7- +xS. +xo/ +x-1 +xj1 +xe3 +x#5 +#36120000 +1r2 +1,3 +1Q +1[3 +162 +b1111011111111110000000000000000 ) +1~2 +b101001z1 w2 +b101001z1 &3 +1G +1n" +1M# +1,$ +1i$ +1H% +1'& +1d& +1C' +1"( +1_( +1>) +1{) +1Z* +19+ +1v+ +1g1 +1%3 +1A4 +1]5 +b101011z1 ; +b101011z1 H +10 +#36130000 +0M2 +0|2 +0Z3 +0A2 +b101001z0 :2 +b101001z0 G2 +#36140000 +1{2 +1^3 +17 +1\" +1;# +1x# +1W$ +16% +1s% +1R& +11' +1n' +1M( +1,) +1i) +1H* +1'+ +1d+ +1U1 +1q2 +1/4 +1K5 +b11111111000000001111111111111111 # +b11111111000000001111111111111111 / +#36150000 +004 +b111111111111111111111110 1 +b1111111100000000000000zz + +0!3 +0R3 +b1110011111111110000000000000000 ) +#36160000 +1Q3 +1i3 +1:4 +1s2 +b1110111111111110000000000000000 ) +1]3 +b101001z1 V3 +b101001z1 c3 +#36170000 +0,3 +0[3 +b11111111000000000000000 1 +094 +0~2 +b101001z0 w2 +b101001z0 &3 +#36180000 +1Z3 +1=4 +#36190000 +0m4 +b11111110000000000000000 1 +0^3 +014 +b1100111111111110000000000000000 ) +#36200000 +104 +1H4 +1w4 +1R3 +b1101111111111110000000000000000 ) +1<4 +b101001z1 54 +b101001z1 B4 +#36210000 +0i3 +0:4 +b11111100000000000000000 1 +0v4 +0]3 +b101001z0 V3 +b101001z0 c3 +#36220000 +194 +1z4 +#36230000 +0L5 +b11111000000000000000000 1 +0=4 +0n4 +b1001111111111110000000000000000 ) +#36240000 +1m4 +1'5 +1V5 +114 +b1011111111111110000000000000000 ) +1y4 +b101001z1 r4 +b101001z1 !5 +#36250000 +0H4 +0w4 +b11110000000000000000000 1 +0U5 +0<4 +b101001z0 54 +b101001z0 B4 +#36260000 +1v4 +1Y5 +#36270000 +0t +b11100000000000000000000 1 +0z4 +0M5 +b11111111111110000000000000000 ) +#36280000 +1L5 +1d5 +1"" +1V" +1n4 +b111111111111110000000000000000 ) +1X5 +b101001z1 Q5 +b101001z1 ^5 +#36290000 +0'5 +0V5 +b11000000000000000000000 1 +0!" +0Y" +0U" +0y4 +b101001z0 r4 +b101001z0 !5 +#36300000 +1U5 +1%" +1" +#36310000 +b10000000000000000000000 1 +0Y5 +0! +0x +#36320000 +1t +10" +1M5 +b1111111111111110000000000000000 ) +1$" +b101001z1 { +b101001z1 *" +#36330000 +0d5 +0"" +0V" +b0 1 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#36340000 +1!" +1Y" +1U" +#36350000 +0%" +#36360000 +1! +1x +#36370000 +00" +0$" +b101001z0 { +b101001z0 *" +#36390000 +0Q +0" +b101001z1 ; +b101001z1 H +00 +#36420000 +1Q +b101011z1 ; +b101011z1 H +10 +#38000000 +0:# +0V$ +0r% +10' +1L( +1h) +1&+ +0B, +0^- +0z. +080 +132 +1O3 +1k4 +06 +1r +19# +1U$ +1q% +1l' +1*) +1F* +1b+ +0A, +0~, +0]- +0<. +0y. +0X/ +070 +0t0 +15 +b10101010101010100101010110101010 & +b10101010101010100101010110101010 . +b11111111000000001010101001010101 % +b11111111000000001010101001010101 - +#38010000 +0^' +0z( +08* +0T+ +0`2 +0|3 +0:5 +0C" +0=( +0Y) +0u* +03, +1o, +1p, +1N- +1-. +1.. +1j. +1I/ +1J/ +1(0 +1e0 +1f0 +1D1 +b1000z0 7' +b1000z0 D' +b1000z0 S( +b1000z0 `( +b1000z0 o) +b1000z0 |) +b1000z0 -+ +b1000z0 :+ +b100001z0 :2 +b100001z0 G2 +b100001z0 V3 +b100001z0 c3 +b100001z0 r4 +b100001z0 !5 +0w +b100001z0 { +b100001z0 *" +b1000z0 t' +b1000z0 #( +b1000z0 2) +b1000z0 ?) +b1000z0 N* +b1000z0 [* +b1000z0 j+ +b1000z0 w+ +b111100z0 I, +b111100z0 V, +b101100z1 (- +b101100z1 5- +b111100z1 e- +b111100z1 r- +b101100z1 D. +b101100z1 Q. +b111100z1 #/ +b111100z1 0/ +b101100z1 `/ +b101100z1 m/ +b111100z1 ?0 +b111100z1 L0 +b101100z1 |0 +b101100z1 +1 +#38020000 +1_' +1{( +19* +1U+ +1_2 +1{3 +195 +1B" +1>( +1Z) +1v* +14, +0n, +0q, +0M- +0,. +0/. +0i. +0H/ +0K/ +0'0 +0d0 +0g0 +0C1 +b101000z0 7' +b101000z0 D' +b101000z0 S( +b101000z0 `( +b101000z0 o) +b101000z0 |) +b101000z0 -+ +b101000z0 :+ +b100101z0 :2 +b100101z0 G2 +b100101z0 V3 +b100101z0 c3 +b100101z0 r4 +b100101z0 !5 +b100101z0 { +b100101z0 *" +b101000z0 t' +b101000z0 #( +b101000z0 2) +b101000z0 ?) +b101000z0 N* +b101000z0 [* +b101000z0 j+ +b101000z0 w+ +b11000z0 I, +b11000z0 V, +b101000z1 (- +b101000z1 5- +b11000z1 e- +b11000z1 r- +b101000z1 D. +b101000z1 Q. +b11000z1 #/ +b11000z1 0/ +b101000z1 `/ +b101000z1 m/ +b11000z1 ?0 +b11000z1 L0 +b101000z1 |0 +b101000z1 +1 +1B# +1^$ +1z% +1v' +14) +1P* +1l+ +0J, +0)- +0f- +0E. +0$/ +0a/ +0@0 +0}0 +1< +#38030000 +1L' +1h( +1&* +1B+ +0O2 +0k3 +0)5 +02" +1+( +1G) +1c* +1!, +1=- +1Y. +1u/ +131 +0f2 +0$4 +0@5 +0I" +1u, +1T- +13. +1p. +1O/ +1.0 +1k0 +1J1 +0R" +0># +0Z$ +0v% +14' +b101001z0 7' +b101001z0 D' +1P( +b101001z0 S( +b101001z0 `( +1l) +b101001z0 o) +b101001z0 |) +1*+ +b101001z0 -+ +b101001z0 :+ +0F, +0b- +0~. +0<0 +172 +b100100z0 :2 +b100100z0 G2 +1S3 +b100100z0 V3 +b100100z0 c3 +1o4 +b100100z0 r4 +b100100z0 !5 +09 +1y +b100100z0 { +b100100z0 *" +0D# +0`$ +0|% +b101001z0 t' +b101001z0 #( +1w' +b101001z0 2) +b101001z0 ?) +15) +b101001z0 N* +b101001z0 [* +1Q* +b101001z0 j+ +b101001z0 w+ +1m+ +1L, +b101001z1 (- +b101001z1 5- +1+- +1h- +b101001z1 D. +b101001z1 Q. +1G. +1&/ +b101001z1 `/ +b101001z1 m/ +1c/ +1B0 +b101001z1 |0 +b101001z1 +1 +1!1 +0> +#38040000 +1y# +17% +1S& +0#- +1]" +1G# +1c$ +1!& +0z' +08) +0T* +0p+ +0O, +0.- +0k- +0J. +0)/ +0f/ +0E0 +0$1 +1A +1=# +1Y$ +1u% +0E, +18 +b1111111111111100000000001010101 ) +1T" +#38050000 +0%$ +0A% +0]& +1-- +0g" +0W" +0m2 +0+4 +0G5 +0P" +1|, +1[- +1:. +1w. +1V/ +150 +1r0 +1Q1 +0B# +0^$ +0z% +19' +1U( +1q) +1/+ +0K, +0g- +0%/ +0A0 +1;2 +1W3 +1s4 +0< +1| +0I# +0e$ +0#& +1|' +1:) +1V* +1r+ +1Q, +0C +#38060000 +1$$ +1@% +1\& +0,- +1f" +01- +0n- +0M. +0,/ +0i/ +0H0 +0'1 +1D# +1`$ +1|% +1:' +1V( +1r) +10+ +0L, +0h- +0&/ +0B0 +0=2 +0Y3 +0u4 +1> +0~ +#38070000 +0y# +07% +0S& +0]" +0T# +0p$ +0.& +1)( +1E) +1a* +1}+ +1\, +0N +0G# +0c$ +0!& +0=' +0Y( +0u) +03+ +1O, +1k- +1)/ +1E0 +1@2 +1\3 +1x4 +0A +1#" +0($ +0D% +0`& +10- +0j" +0Y" +0n2 +0,4 +0H5 +0Q" +1}, +1\- +1;. +1x. +1W/ +160 +1s0 +1R1 +0=# +0Y$ +0u% +0i- +0'/ +0C0 +08 +b1111111111111100000000000000000 ) +0H# +b101001z0 A# +b101001z0 N# +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +1P, +b11000z1 I, +b11000z1 V, +0B +b101011z0 ; +b101011z0 H +#38080000 +1X$ +1t% +12' +0`- +1<# +0x- +0W. +06/ +0s/ +0R0 +011 +1%$ +1A% +1]& +1g" +1z# +18% +1T& +0$- +1^" +b1111111111111000000000010101010 ) +0l- +b11000z0 e- +b11000z0 r- +0K. +b101001z0 D. +b101001z0 Q. +0*/ +b11000z0 #/ +b11000z0 0/ +0g/ +b101001z0 `/ +b101001z0 m/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0%1 +b101001z0 |0 +b101001z0 +1 +1I# +1e$ +1#& +1?' +1[( +1w) +15+ +0Q, +1C +#38090000 +03$ +0O% +0k& +0u" +0?. +0[/ +0w0 +0b$ +0~% +0<' +1j- +0F# +0$$ +0@% +0\& +0f" +1,/ +1H0 +1C2 +1_3 +1{4 +1&" +0'$ +b101001z0 ~# +b101001z0 -$ +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +0i" +b101001z0 b" +b101001z0 o" +0x +0J2 +0f3 +0$5 +0-" +1Y, +18- +1u- +1T. +13/ +1p/ +1O0 +1.1 +0a- +0}. +0;0 +b1111111101010000000000010101010 ) +#38100000 +1T# +1p$ +1.& +1J' +1f( +1$* +1@+ +0\, +1N +1I. +1e/ +1#1 +1a$ +1}% +1;' +1E# +1($ +1D% +1`& +1j" +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +0P, +b11000z0 I, +b11000z0 V, +1B +b101011z1 ; +b101011z1 H +#38110000 +0X$ +0t% +02' +0<# +16/ +1R0 +1M2 +1i3 +1'5 +10" +0H. +0d/ +0"1 +0e$ +0#& +0?' +0I# +0z# +08% +0T& +0^" +b1111111101010000000000000000000 ) +1*/ +b11000z1 #/ +b11000z1 0/ +1F0 +b11000z1 ?0 +b11000z1 L0 +1A2 +b100100z1 :2 +b100100z1 G2 +1]3 +b100100z1 V3 +b100100z1 c3 +1y4 +b100100z1 r4 +b100100z1 !5 +1$" +b100100z1 { +b100100z1 *" +0F2 +0b3 +0~4 +0)" +1U, +14- +1q- +1P. +1// +1l/ +1K0 +1*1 +#38120000 +17% +1S& +1o' +1y# +13$ +1O% +1k& +1u" +0Q +1b$ +1~% +1<' +1F# +1L. +1h/ +1&1 +1Y$ +1u% +13' +1=# +b1111111101010000000000101010100 ) +1'$ +b101001z1 ~# +b101001z1 -$ +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1i" +b101001z1 b" +b101001z1 o" +b101001z1 ; +b101001z1 H +00 +#38130000 +0|. +0:0 +0V1 +0p$ +0.& +0J' +0T# +0A% +0]& +0y' +0%$ +0a$ +0}% +0;' +0E# +0@. +0\/ +0x0 +b1111111000000000000000101010100 ) +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +0H# +b101001z0 A# +b101001z0 N# +042 +0P3 +0l4 +0s +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +b1010101111111111111111111111111 # +b1010101111111111111111111111111 / +#38140000 +1W. +1s/ +111 +1(/ +1D0 +1`1 +b101010100000000000000000000000zz + +1@% +1\& +1x' +1$$ +1e$ +1#& +1?' +1I# +1K. +b101001z1 D. +b101001z1 Q. +1g/ +b101001z1 `/ +b101001z1 m/ +1%1 +b101001z1 |0 +b101001z1 +1 +#38150000 +07% +0S& +0o' +0y# +0,/ +0H0 +0_1 +0D% +0`& +0|' +0($ +0Y$ +0u% +03' +0=# +b1111111000000000000000000000000 ) +#38160000 +1t% +12' +1N( +1X$ +1p$ +1.& +1J' +1T# +1A% +1]& +1y' +1%$ +1c1 +18% +1T& +1p' +1z# +b1111111000000000000001010101000 ) +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1H# +b101001z1 A# +b101001z1 N# +#38170000 +06/ +0R0 +052 +0O% +0k& +0)( +03$ +0~% +0<' +0X( +0b$ +0@% +0\& +0x' +0$$ +0*/ +b11000z0 #/ +b11000z0 0/ +0F0 +b11000z0 ?0 +b11000z0 L0 +0W1 +b1111110000000000000001010101000 ) +0C% +b101001z0 <% +b101001z0 I% +0_& +b101001z0 X& +b101001z0 e& +0{' +b101001z0 t' +b101001z0 #( +0'$ +b101001z0 ~# +b101001z0 -$ +#38180000 +1n1 +1?2 +1}% +1;' +1W( +1a$ +1D% +1`& +1|' +1($ +1b1 +b101001z1 [1 +b101001z1 h1 +#38190000 +0t% +02' +0N( +0X$ +0C2 +0>2 +0#& +0?' +0[( +0e$ +08% +0T& +0p' +0z# +b1111110000000000000000000000000 ) +#38200000 +1S& +1o' +1-) +17% +1O% +1k& +1)( +13$ +1~% +1<' +1X( +1b$ +1u% +13' +1O( +1Y$ +b1111110000000000000010101010000 ) +1C% +b101001z1 <% +b101001z1 I% +1_& +b101001z1 X& +b101001z1 e& +1{' +b101001z1 t' +b101001z1 #( +1'$ +b101001z1 ~# +b101001z1 -$ +#38210000 +0M2 +0.& +0J' +0f( +0p$ +0]& +0y' +07) +0A% +0}% +0;' +0W( +0a$ +0A2 +b100100z0 :2 +b100100z0 G2 +0"& +b101001z0 y% +b101001z0 (& +0>' +b101001z0 7' +b101001z0 D' +0Z( +b101001z0 S( +b101001z0 `( +0d$ +b101001z0 ]$ +b101001z0 j$ +#38220000 +1\& +1x' +16) +1@% +1#& +1?' +1[( +1e$ +#38230000 +0S& +0o' +0-) +07% +0`& +0|' +0:) +0D% +0u% +03' +0O( +0Y$ +b1111110000000000000000000000000 ) +#38240000 +12' +1N( +1j) +1t% +1.& +1J' +1f( +1p$ +1]& +1y' +17) +1A% +1T& +1p' +1.) +18% +b1111110000000000000101010100000 ) +1"& +b101001z1 y% +b101001z1 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1d$ +b101001z1 ]$ +b101001z1 j$ +#38250000 +0k& +0)( +0E) +0O% +0<' +0X( +0t) +0~% +0\& +0x' +06) +0@% +0_& +b101001z0 X& +b101001z0 e& +0{' +b101001z0 t' +b101001z0 #( +09) +b101001z0 2) +b101001z0 ?) +0C% +b101001z0 <% +b101001z0 I% +#38260000 +1;' +1W( +1s) +1}% +1`& +1|' +1:) +1D% +#38270000 +02' +0N( +0j) +0t% +0?' +0[( +0w) +0#& +0T& +0p' +0.) +08% +b1111110000000000000000000000000 ) +#38280000 +1o' +1-) +1I* +1S& +1k& +1)( +1E) +1O% +1<' +1X( +1t) +1~% +13' +1O( +1k) +1u% +b1111110000000000001010101000000 ) +1_& +b101001z1 X& +b101001z1 e& +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1C% +b101001z1 <% +b101001z1 I% +#38290000 +0J' +0f( +0$* +0.& +0y' +07) +0S* +0]& +0;' +0W( +0s) +0}% +0>' +b101001z0 7' +b101001z0 D' +0Z( +b101001z0 S( +b101001z0 `( +0v) +b101001z0 o) +b101001z0 |) +0"& +b101001z0 y% +b101001z0 (& +#38300000 +1x' +16) +1R* +1\& +1?' +1[( +1w) +1#& +#38310000 +0o' +0-) +0I* +0S& +0|' +0:) +0V* +0`& +03' +0O( +0k) +0u% +b1111110000000000000000000000000 ) +#38320000 +1N( +1j) +1(+ +12' +1J' +1f( +1$* +1.& +1y' +17) +1S* +1]& +1p' +1.) +1J* +1T& +b1111110000000000010101010000000 ) +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +1"& +b101001z1 y% +b101001z1 (& +#38330000 +0)( +0E) +0a* +0k& +0X( +0t) +02+ +0<' +0x' +06) +0R* +0\& +0{' +b101001z0 t' +b101001z0 #( +09) +b101001z0 2) +b101001z0 ?) +0U* +b101001z0 N* +b101001z0 [* +0_& +b101001z0 X& +b101001z0 e& +#38340000 +1W( +1s) +11+ +1;' +1|' +1:) +1V* +1`& +#38350000 +0N( +0j) +0(+ +02' +0[( +0w) +05+ +0?' +0p' +0.) +0J* +0T& +b1111110000000000000000000000000 ) +#38360000 +1-) +1I* +1e+ +1o' +1)( +1E) +1a* +1k& +1X( +1t) +12+ +1<' +1O( +1k) +1)+ +13' +b1111110000000000101010100000000 ) +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1_& +b101001z1 X& +b101001z1 e& +#38370000 +0f( +0$* +0@+ +0J' +07) +0S* +0o+ +0y' +0W( +0s) +01+ +0;' +0Z( +b101001z0 S( +b101001z0 `( +0v) +b101001z0 o) +b101001z0 |) +04+ +b101001z0 -+ +b101001z0 :+ +0>' +b101001z0 7' +b101001z0 D' +#38380000 +16) +1R* +1n+ +1x' +1[( +1w) +15+ +1?' +#38390000 +0-) +0I* +0e+ +0o' +0:) +0V* +0r+ +0|' +0O( +0k) +0)+ +03' +b1111110000000000000000000000000 ) +#38400000 +1j) +1(+ +1D, +1N( +1f( +1$* +1@+ +1J' +17) +1S* +1o+ +1y' +1.) +1J* +1f+ +1p' +b1111110000000001010101000000000 ) +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1>' +b101001z1 7' +b101001z1 D' +#38410000 +0E) +0a* +0}+ +0)( +0t) +02+ +0N, +0X( +06) +0R* +0n+ +0x' +09) +b101001z0 2) +b101001z0 ?) +0U* +b101001z0 N* +b101001z0 [* +0q+ +b101001z0 j+ +b101001z0 w+ +0{' +b101001z0 t' +b101001z0 #( +#38420000 +1s) +11+ +1R, +1W( +1:) +1V* +1r+ +1|' +#38430000 +0j) +0(+ +0D, +0N( +0w) +05+ +0[( +0.) +0J* +0f+ +0p' +b1111110000000000000000000000000 ) +#38440000 +1I* +1e+ +1\, +1-) +1E) +1a* +1}+ +1)( +1t) +12+ +1N, +1X( +1k) +1)+ +1P, +b11000z1 I, +b11000z1 V, +1O( +b1111110000000000101010000000000 ) +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +1{' +b101001z1 t' +b101001z1 #( +#38450000 +0$* +0@+ +0f( +0S* +0o+ +07) +0s) +01+ +0R, +0W( +0v) +b101001z0 o) +b101001z0 |) +04+ +b101001z0 -+ +b101001z0 :+ +0Z( +b101001z0 S( +b101001z0 `( +#38460000 +1R* +1n+ +16) +1w) +15+ +1[( +#38470000 +0I* +0e+ +0\, +0-) +0V* +0r+ +0:) +0k) +0)+ +0P, +b11000z0 I, +b11000z0 V, +0O( +b1111110000000000000000000000000 ) +#38480000 +1(+ +1D, +1j) +1$* +1@+ +1f( +1S* +1o+ +17) +1J* +1f+ +1.) +b1111110000000001010100000000000 ) +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1Z( +b101001z1 S( +b101001z1 `( +#38490000 +0a* +0}+ +0E) +02+ +0N, +0t) +0R* +0n+ +06) +0U* +b101001z0 N* +b101001z0 [* +0q+ +b101001z0 j+ +b101001z0 w+ +09) +b101001z0 2) +b101001z0 ?) +#38500000 +11+ +1R, +1s) +1V* +1r+ +1:) +#38510000 +0(+ +0D, +0j) +05+ +0w) +0J* +0f+ +0.) +b1111110000000000000000000000000 ) +#38520000 +1e+ +1\, +1I* +1a* +1}+ +1E) +12+ +1N, +1t) +1)+ +1P, +b11000z1 I, +b11000z1 V, +1k) +b1111110000000000101000000000000 ) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +19) +b101001z1 2) +b101001z1 ?) +#38530000 +0@+ +0$* +0o+ +0S* +01+ +0R, +0s) +04+ +b101001z0 -+ +b101001z0 :+ +0v) +b101001z0 o) +b101001z0 |) +#38540000 +1n+ +1R* +15+ +1w) +#38550000 +0e+ +0\, +0I* +0r+ +0V* +0)+ +0P, +b11000z0 I, +b11000z0 V, +0k) +b1111110000000000000000000000000 ) +#38560000 +1D, +1(+ +1@+ +1$* +1o+ +1S* +1f+ +1J* +b1111110000000001010000000000000 ) +14+ +b101001z1 -+ +b101001z1 :+ +1v) +b101001z1 o) +b101001z1 |) +#38570000 +0}+ +0a* +0N, +02+ +0n+ +0R* +0q+ +b101001z0 j+ +b101001z0 w+ +0U* +b101001z0 N* +b101001z0 [* +#38580000 +1R, +11+ +1r+ +1V* +#38590000 +0D, +0(+ +05+ +0f+ +0J* +b1111110000000000000000000000000 ) +#38600000 +1\, +1e+ +1}+ +1a* +1N, +12+ +1P, +b11000z1 I, +b11000z1 V, +1)+ +b1111110000000000100000000000000 ) +1q+ +b101001z1 j+ +b101001z1 w+ +1U* +b101001z1 N* +b101001z1 [* +#38610000 +0@+ +0o+ +0R, +01+ +04+ +b101001z0 -+ +b101001z0 :+ +#38620000 +1n+ +15+ +#38630000 +0\, +0e+ +0r+ +0P, +b11000z0 I, +b11000z0 V, +0)+ +b1111110000000000000000000000000 ) +#38640000 +1D, +1@+ +1o+ +1f+ +b1111110000000001000000000000000 ) +14+ +b101001z1 -+ +b101001z1 :+ +#38650000 +0}+ +0N, +0n+ +0q+ +b101001z0 j+ +b101001z0 w+ +#38660000 +1R, +1r+ +#38670000 +0D, +0f+ +b1111110000000000000000000000000 ) +#38680000 +1\, +1}+ +1N, +1P, +b11000z1 I, +b11000z1 V, +1q+ +b101001z1 j+ +b101001z1 w+ +#38690000 +0R, +#38710000 +0\, +0P, +b11000z0 I, +b11000z0 V, +#40000000 +0L +1M +0^ +1_ +0." +1/" +0@" +1A" +0s" +1t" +0'# +1(# +0R# +1S# +0d# +1e# +01$ +12$ +0C$ +1D$ +0n$ +1o$ +0"% +1#% +0M% +1N% +0_% +1`% +0,& +1-& +0>& +1?& +0i& +1j& +0{& +1|& +0H' +1I' +0Z' +1[' +0'( +1(( +09( +1:( +0d( +1e( +0v( +1w( +0C) +1D) +0U) +1V) +0"* +1#* +04* +15* +0_* +1`* +0q* +1r* +0>+ +1?+ +0P+ +1Q+ +0{+ +1|+ +0/, +10, +0Z, +1[, +0l, +1m, +09- +1:- +0K- +1L- +0v- +1w- +0*. +1+. +0U. +1V. +0g. +1h. +04/ +15/ +0F/ +1G/ +0q/ +1r/ +0%0 +1&0 +0P0 +1Q0 +0b0 +1c0 +0/1 +101 +0A1 +1B1 +0l1 +1m1 +0~1 +1!2 +0K2 +1L2 +0]2 +1^2 +0*3 +1+3 +0<3 +1=3 +0g3 +1h3 +0y3 +1z3 +0F4 +1G4 +0X4 +1Y4 +0%5 +1&5 +075 +185 +0b5 +1c5 +0t5 +1u5 +b110 4 +b110 , +b110 : +b110 E +b110 v +b110 z +b110 '" +b110 a" +b110 l" +b110 @# +b110 K# +b110 }# +b110 *$ +b110 \$ +b110 g$ +b110 ;% +b110 F% +b110 x% +b110 %& +b110 W& +b110 b& +b110 6' +b110 A' +b110 s' +b110 ~' +b110 R( +b110 ]( +b110 1) +b110 <) +b110 n) +b110 y) +b110 M* +b110 X* +b110 ,+ +b110 7+ +b110 i+ +b110 t+ +b110 H, +b110 S, +b110 '- +b110 2- +b110 d- +b110 o- +b110 C. +b110 N. +b110 "/ +b110 -/ +b110 _/ +b110 j/ +b110 >0 +b110 I0 +b110 {0 +b110 (1 +b110 Z1 +b110 e1 +b110 92 +b110 D2 +b110 v2 +b110 #3 +b110 U3 +b110 `3 +b110 44 +b110 ?4 +b110 q4 +b110 |4 +b110 P5 +b110 [5 +1B, +1^- +1z. +180 +0l' +0*) +0F* +0b+ +1A, +1]- +1y. +170 +022 +0N3 +0j4 +0q +b110 ' +b110 ( +b110 2 +b10101010111111110101010110101010 & +b10101010111111110101010110101010 . +b1010101010101010000000001010101 % +b1010101010101010000000001010101 - +#40010000 +1=( +1Y) +1u* +13, +0o, +0p, +0-. +0.. +0I/ +0J/ +0e0 +0f0 +1`2 +1|3 +1:5 +1C" +1Z +0[ +1l +0m +1<" +0=" +1N" +0O" +1## +0$# +15# +06# +1`# +0a# +1r# +0s# +1?$ +0@$ +1Q$ +0R$ +1|$ +0}$ +10% +01% +1[% +0\% +1m% +0n% +1:& +0;& +1L& +0M& +1w& +0x& +1+' +0,' +1V' +0W' +1h' +0i' +15( +06( +1G( +0H( +1r( +0s( +1&) +0') +1Q) +0R) +1c) +0d) +10* +01* +1B* +0C* +1m* +0n* +1!+ +0"+ +1L+ +0M+ +1^+ +0_+ +1+, +0,, +1=, +0>, +1h, +0i, +1z, +0{, +1G- +0H- +1Y- +0Z- +1&. +0'. +18. +09. +1c. +0d. +1u. +0v. +1B/ +0C/ +1T/ +0U/ +1!0 +0"0 +130 +040 +1^0 +0_0 +1p0 +0q0 +1=1 +0>1 +1O1 +0P1 +1z1 +0{1 +1.2 +0/2 +1Y2 +0Z2 +1k2 +0l2 +183 +093 +1J3 +0K3 +1u3 +0v3 +1)4 +0*4 +1T4 +0U4 +1f4 +0g4 +135 +045 +1E5 +0F5 +1p5 +0q5 +1$6 +0%6 +b111001z1 t' +b111001z1 #( +b111001z1 2) +b111001z1 ?) +b111001z1 N* +b111001z1 [* +b111001z1 j+ +b111001z1 w+ +b0z0 I, +b0z0 V, +b0z0 e- +b0z0 r- +b0z0 #/ +b0z0 0/ +b0z0 ?0 +b0z0 L0 +b101100z0 :2 +b101100z0 G2 +b101100z1 V3 +b101100z1 c3 +b101100z1 r4 +b101100z1 !5 +b101100z1 { +b101100z1 *" +#40020000 +0>( +0Z) +0v* +04, +1n, +1q, +1,. +1/. +1H/ +1K/ +1d0 +1g0 +0_2 +0{3 +095 +0B" +b11001z1 t' +b11001z1 #( +b11001z1 2) +b11001z1 ?) +b11001z1 N* +b11001z1 [* +b11001z1 j+ +b11001z1 w+ +b100100z0 I, +b100100z0 V, +b100100z0 e- +b100100z0 r- +b100100z0 #/ +b100100z0 0/ +b100100z0 ?0 +b100100z0 L0 +b101000z0 :2 +b101000z0 G2 +b101000z1 V3 +b101000z1 c3 +b101000z1 r4 +b101000z1 !5 +b101000z1 { +b101000z1 *" +0T +0f +06" +0H" +0{" +0/# +0Z# +0l# +09$ +0K$ +0v$ +0*% +0U% +0g% +04& +0F& +0q& +0%' +0P' +0b' +0/( +0A( +0l( +0~( +0K) +0]) +0** +0<* +0g* +0y* +0F+ +0X+ +0%, +07, +0b, +0t, +0A- +0S- +0~- +02. +0]. +0o. +0* +1i* +1{* +1H+ +1Z+ +1', +19, +1d, +1v, +1C- +1U- +1". +14. +1_. +1q. +1>/ +1P/ +1{/ +1/0 +1Z0 +1l0 +191 +1K1 +1v1 +1*2 +1U2 +1g2 +143 +1F3 +1q3 +1%4 +1P4 +1b4 +1/5 +1A5 +1l5 +1~5 +1F, +1b- +1~. +1<0 +b11000z1 t' +b11000z1 #( +0w' +b11000z1 2) +b11000z1 ?) +05) +b11000z1 N* +b11000z1 [* +0Q* +b11000z1 j+ +b11000z1 w+ +0m+ +1L, +1h- +1&/ +1B0 +b101001z0 :2 +b101001z0 G2 +1=2 +b101001z1 V3 +b101001z1 c3 +1Y3 +b101001z1 r4 +b101001z1 !5 +1u4 +b101001z1 { +b101001z1 *" +1~ +0T" +#40040000 +0r2 +1z' +18) +1T* +1p+ +0O, +0k- +0)/ +0E0 +0@2 +0\3 +0x4 +0#" +1W" +0U +0g +07" +0|" +00# +0[# +0m# +0:$ +0L$ +0w$ +0+% +0V% +0h% +05& +0G& +0r& +0&' +0Q' +0c' +00( +0B( +0m( +0!) +0L) +0^) +0+* +0=* +0h* +0z* +0G+ +0Y+ +0&, +08, +0c, +0B- +0T- +0!. +0^. +0p. +0=/ +0z/ +0.0 +0Y0 +081 +0J1 +0u1 +0)2 +0T2 +033 +0E3 +0p3 +0O4 +0a4 +0.5 +0k5 +0}5 +062 +b1111100000000000000000000000000 ) +0U" +#40050000 +1|2 +0|, +0:. +0V/ +0r0 +1W +19" +1~" +1]# +1<$ +1y$ +1X% +17& +1t& +1S' +1D( +1o( +1`) +1-* +1|* +1I+ +1:, +1D- +1`. +1|/ +1:1 +1w1 +1V2 +153 +1r3 +1Q4 +105 +1m5 +1J, +1f- +1$/ +1@0 +0|' +0:) +0V* +0r+ +1Q, +1m- +1+/ +1G0 +1B2 +#40060000 +0{2 +0_3 +0{4 +0&" +1Y" +0\ +0n +0>" +0%# +07# +0b# +0t# +0A$ +0S$ +0~$ +02% +0]% +0o% +0<& +0N& +0y& +0-' +0X' +0j' +07( +0I( +0t( +0() +0S) +0e) +02* +0D* +0o* +0#+ +0N+ +0`+ +0-, +0?, +0j, +0I- +0[- +0(. +0e. +0w. +0D/ +0#0 +050 +0`0 +0?1 +0Q1 +0|1 +002 +0[2 +0:3 +0L3 +0w3 +0V4 +0h4 +055 +0r5 +0&6 +0p +0L, +0h- +0&/ +0B0 +#40070000 +1#- +1?. +1[/ +1w0 +0)( +0E) +0a* +0}+ +1\, +1x- +16/ +1R0 +1M2 +1O, +1k- +1)/ +1E0 +1!3 +0}, +0;. +0W/ +0s0 +1] +1?" +1&# +1c# +1B$ +1!% +1^% +1=& +1z& +1Y' +1u( +13* +1O+ +1J- +1f. +1$0 +1@1 +1}1 +1\2 +1;3 +1x3 +1W4 +165 +1s5 +1E, +1a- +1}. +1;0 +b1111100010101010000000000000000 ) +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +1P, +b100100z1 I, +b100100z1 V, +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1A2 +b101001z1 :2 +b101001z1 G2 +#40080000 +0Q3 +0i3 +0'5 +00" +0-- +0I. +0e/ +0#1 +0s2 +b1111000010101010000000000000000 ) +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +1x +0o +08# +0u# +0T$ +03% +0p% +0O& +0.' +0k' +08( +0)) +0T) +0E* +0p* +0a+ +0., +0k, +0\- +0). +0x. +0E/ +060 +0a0 +0R1 +012 +0M3 +0i4 +0'6 +0Q, +0m- +0+/ +0G0 +#40090000 +1,3 +1[3 +1,- +1H. +1d/ +1"1 +1~2 +b101001z1 w2 +b101001z1 &3 +0Y, +0u- +03/ +0O0 +1J +1," +1q" +1P# +1/$ +1l$ +1K% +1*& +1g& +1F' +1b( +1~) +1<+ +17- +1S. +1o/ +1-1 +1j1 +1I2 +1(3 +1e3 +1D4 +1#5 +1`5 +#40100000 +0\, +0x- +06/ +0R0 +0Z3 +00- +0L. +0h/ +0&1 +0K +0r" +0Q# +00$ +0m$ +0L% +0+& +0h& +0G' +0%( +0c( +0A) +0!* +0]* +0=+ +0y+ +0X, +08- +0t- +0T. +02/ +0p/ +0N0 +0.1 +0k1 +0)3 +0E4 +0a5 +0P, +b100100z0 I, +b100100z0 V, +0l- +b100100z0 e- +b100100z0 r- +0*/ +b100100z0 #/ +b100100z0 0/ +0F0 +b100100z0 ?0 +b100100z0 L0 +#40110000 +1`- +1|. +1:0 +1V1 +1Q +1^3 +1$- +1@. +1\/ +1x0 +b1111000111111110000000000000000 ) +0U, +0q- +0// +0K0 +b101011z1 ; +b101011z1 H +10 +#40120000 +004 +0;- +0W. +0s/ +011 +0j- +0(/ +0D0 +0`1 +0R3 +b1110000111111110000000000000000 ) +0/- +b101001z0 (- +b101001z0 5- +0K. +b101001z0 D. +b101001z0 Q. +0g/ +b101001z0 `/ +b101001z0 m/ +0%1 +b101001z0 |0 +b101001z0 +1 +0G +0n" +0M# +0,$ +0i$ +0H% +0'& +0d& +0C' +0_( +0{) +09+ +04- +0P. +0l/ +0*1 +0g1 +0%3 +0A4 +0]5 +#40130000 +1i3 +1:4 +1n- +1i- +1,/ +1'/ +1H0 +1C0 +1_1 +1]3 +b101001z1 V3 +b101001z1 c3 +0C, +0_- +0{. +090 +b1010101101010101111111111111111 # +b1010101101010101111111111111111 / +#40140000 +b101010100101010100000000000000zz + +094 +0c1 +07 +0\" +0;# +0x# +0W$ +06% +0s% +0R& +01' +0M( +0i) +0'+ +0"- +0>. +0Z/ +0v0 +0U1 +0q2 +0/4 +0K5 +b1010101000000000 # +b1010101000000000 / +#40150000 +1x- +16/ +1R0 +152 +b1 1 +b111111111111111101010101111111zz + +1=4 +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +1W1 +b1110001111111110000000000000000 ) +#40160000 +0m4 +0n1 +0?2 +014 +b1100001111111110000000000000000 ) +0b1 +b101001z0 [1 +b101001z0 h1 +#40170000 +1H4 +1w4 +b11 1 +1>2 +1<4 +b101001z1 54 +b101001z1 B4 +#40180000 +0v4 +0B2 +#40190000 +1r2 +b111 1 +1z4 +162 +b1100011111111110000000000000000 ) +#40200000 +0L5 +0M2 +0|2 +0n4 +b1000011111111110000000000000000 ) +0A2 +b101001z0 :2 +b101001z0 G2 +#40210000 +1'5 +1V5 +b1111 1 +1{2 +1y4 +b101001z1 r4 +b101001z1 !5 +#40220000 +0U5 +0!3 +#40230000 +1Q3 +b11111 1 +1Y5 +1s2 +b1000111111111110000000000000000 ) +#40240000 +0t +0,3 +0[3 +0M5 +b111111111110000000000000000 ) +0~2 +b101001z0 w2 +b101001z0 &3 +#40250000 +1d5 +1"" +1V" +b111111 1 +1Z3 +1X5 +b101001z1 Q5 +b101001z1 ^5 +#40260000 +0!" +0Y" +0^3 +#40270000 +104 +b1111111 1 +1%" +1R3 +b1111111111110000000000000000 ) +1" +#40280000 +0i3 +0:4 +0! +0x +0]3 +b101001z0 V3 +b101001z0 c3 +#40290000 +10" +b11111111 1 +194 +1$" +b101001z1 { +b101001z1 *" +#40300000 +0=4 +#40310000 +1m4 +114 +b11111111111110000000000000000 ) +0" +#40320000 +0H4 +0w4 +0<4 +b101001z0 54 +b101001z0 B4 +#40330000 +1v4 +#40340000 +0Q +0z4 +b101001z1 ; +b101001z1 H +00 +#40350000 +1L5 +1n4 +b111111111111110000000000000000 ) +#40360000 +0'5 +0V5 +0y4 +b101001z0 r4 +b101001z0 !5 +#40370000 +1U5 +#40380000 +0Y5 +#40390000 +1t +1M5 +b1111111111111110000000000000000 ) +#40400000 +0d5 +0"" +0V" +0X5 +b101001z0 Q5 +b101001z0 ^5 +#40410000 +1!" +1Y" +#40420000 +0%" +1" +#40430000 +1! +1x +#40440000 +00" +0$" +b101001z0 { +b101001z0 *" +#40460000 +0" +#40490000 +1Q +b101011z1 ; +b101011z1 H +10 +#42000000 +1:# +1V$ +1r% +00' +0L( +0h) +0&+ +032 +0O3 +0k4 +16 +0r +09# +0U$ +0q% +1~, +1<. +1X/ +1t0 +122 +1N3 +1j4 +05 +1q +b111111110000000011111111 & +b111111110000000011111111 . +b11111111111111110000000000000000 % +b11111111111111110000000000000000 - +#42010000 +1^' +1z( +18* +1T+ +0N- +0j. +0(0 +0D1 +b111001z1 7' +b111001z1 D' +b111001z1 S( +b111001z1 `( +b111001z1 o) +b111001z1 |) +b111001z1 -+ +b111001z1 :+ +1w +b100001z0 (- +b100001z0 5- +b100001z0 D. +b100001z0 Q. +b100001z0 `/ +b100001z0 m/ +b100001z0 |0 +b100001z0 +1 +#42020000 +0_' +0{( +09* +0U+ +1M- +1i. +1'0 +1C1 +b11001z1 7' +b11001z1 D' +b11001z1 S( +b11001z1 `( +b11001z1 o) +b11001z1 |) +b11001z1 -+ +b11001z1 :+ +b100101z0 (- +b100101z0 5- +b100101z0 D. +b100101z0 Q. +b100101z0 `/ +b100101z0 m/ +b100101z0 |0 +b100101z0 +1 +0C# +0_$ +0{% +1)- +1E. +1a/ +1}0 +1;2 +1W3 +1s4 +0= +1| +1S" +#42030000 +0L' +0h( +0&* +0B+ +0=- +0Y. +0u/ +031 +1e' +1#) +1?* +1[+ +1R" +1># +1Z$ +1v% +04' +b11000z1 7' +b11000z1 D' +0P( +b11000z1 S( +b11000z1 `( +0l) +b11000z1 o) +b11000z1 |) +0*+ +b11000z1 -+ +b11000z1 :+ +072 +0S3 +0o4 +19 +0y +0D# +0`$ +0|% +b100100z0 (- +b100100z0 5- +0+- +b100100z0 D. +b100100z0 Q. +0G. +b100100z0 `/ +b100100z0 m/ +0c/ +b100100z0 |0 +b100100z0 +1 +0!1 +0=2 +0Y3 +0u4 +0> +0~ +#42040000 +1G# +1c$ +1!& +1.- +1J. +1f/ +1$1 +1@2 +1\3 +1x4 +1A +1#" +1U" +#42050000 +0S' +0o( +0-* +0I+ +0D- +0`. +0|/ +0:1 +1k' +1)) +1E* +1a+ +1p +1C# +1_$ +1{% +09' +0U( +0q) +0/+ +0;2 +0W3 +0s4 +1= +0| +0I# +0e$ +0#& +0C +#42060000 +11- +1M. +1i/ +1'1 +1C2 +1_3 +1{4 +1&" +1D# +1`$ +1|% +0:' +0V( +0r) +00+ +1=2 +1Y3 +1u4 +1> +1~ +#42070000 +0T# +0p$ +0.& +0N +0G# +0c$ +0!& +1=' +1Y( +1u) +13+ +0@2 +0\3 +0x4 +0A +0#" +0Y' +0u( +03* +0O+ +0J- +0f. +0$0 +0@1 +1G' +1c( +1!* +1=+ +0H# +b101001z0 A# +b101001z0 N# +0d$ +b101001z0 ]$ +b101001z0 j$ +0"& +b101001z0 y% +b101001z0 (& +0B +b101011z0 ; +b101011z0 H +#42080000 +1;- +1W. +1s/ +111 +1M2 +1i3 +1'5 +10" +1/- +b100100z1 (- +b100100z1 5- +1K. +b100100z1 D. +b100100z1 Q. +1g/ +b100100z1 `/ +b100100z1 m/ +1%1 +b100100z1 |0 +b100100z1 +1 +1A2 +b101001z1 :2 +b101001z1 G2 +1]3 +b101001z1 V3 +b101001z1 c3 +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +1I# +1e$ +1#& +0?' +0[( +0w) +05+ +1C +#42090000 +0C2 +0_3 +0{4 +0&" +0F' +0b( +0~) +0<+ +07- +0S. +0o/ +0-1 +1C' +1_( +1{) +19+ +#42100000 +1T# +1p$ +1.& +0J' +0f( +0$* +0@+ +1N +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +0>' +b11000z0 7' +b11000z0 D' +0Z( +b11000z0 S( +b11000z0 `( +0v) +b11000z0 o) +b11000z0 |) +04+ +b11000z0 -+ +b11000z0 :+ +1B +b101011z1 ; +b101011z1 H +#42110000 +0M2 +0i3 +0'5 +00" +0A2 +b101001z0 :2 +b101001z0 G2 +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +11' +1M( +1i) +1'+ +b1111111100000000 # +b1111111100000000 / +#42120000 +b111111111111111100000000111111zz + +#42140000 +b1111111 1 +#44000000 +1L +1^ +1." +1@" +1s" +1'# +1R# +1d# +11$ +1C$ +1n$ +1"% +1M% +1_% +1,& +1>& +1i& +1{& +1H' +1Z' +1'( +19( +1d( +1v( +1C) +1U) +1"* +14* +1_* +1q* +1>+ +1P+ +1{+ +1/, +1Z, +1l, +19- +1K- +1v- +1*. +1U. +1g. +14/ +1F/ +1q/ +1%0 +1P0 +1b0 +1/1 +1A1 +1l1 +1~1 +1K2 +1]2 +1*3 +1<3 +1g3 +1y3 +1F4 +1X4 +1%5 +175 +1b5 +1t5 +b111 4 +b111 , +b111 : +b111 E +b111 v +b111 z +b111 '" +b111 a" +b111 l" +b111 @# +b111 K# +b111 }# +b111 *$ +b111 \$ +b111 g$ +b111 ;% +b111 F% +b111 x% +b111 %& +b111 W& +b111 b& +b111 6' +b111 A' +b111 s' +b111 ~' +b111 R( +b111 ]( +b111 1) +b111 <) +b111 n) +b111 y) +b111 M* +b111 X* +b111 ,+ +b111 7+ +b111 i+ +b111 t+ +b111 H, +b111 S, +b111 '- +b111 2- +b111 d- +b111 o- +b111 C. +b111 N. +b111 "/ +b111 -/ +b111 _/ +b111 j/ +b111 >0 +b111 I0 +b111 {0 +b111 (1 +b111 Z1 +b111 e1 +b111 92 +b111 D2 +b111 v2 +b111 #3 +b111 U3 +b111 `3 +b111 44 +b111 ?4 +b111 q4 +b111 |4 +b111 P5 +b111 [5 +0:# +0V$ +0r% +10' +1L( +1h) +1&+ +0B, +0^- +0z. +080 +132 +1O3 +1k4 +06 +1r +1l' +1*) +1F* +1b+ +022 +0N3 +0j4 +0q +b111 ' +b111 ( +b111 2 +b10101010101010100101010110101010 & +b10101010101010100101010110101010 . +b1010101111111111010101000000000 % +b1010101111111111010101000000000 - +#44010000 +1h# +1&% +1B& +0^' +0z( +08* +0T+ +1o, +1-. +1I/ +1e0 +1b +0=( +0Y) +0u* +03, +0Z +0l +0<" +0N" +0## +05# +0`# +0r# +0?$ +0Q$ +0|$ +00% +0[% +0m% +0:& +0L& +0w& +0+' +0V' +0h' +05( +0G( +0r( +0&) +0Q) +0c) +00* +0B* +0m* +0!+ +0L+ +0^+ +0+, +0=, +0h, +0z, +0G- +0Y- +0&. +08. +0c. +0u. +0B/ +0T/ +0!0 +030 +0^0 +0p0 +0=1 +0O1 +0z1 +0.2 +0Y2 +0k2 +083 +0J3 +0u3 +0)4 +0T4 +0f4 +035 +0E5 +0p5 +0$6 +b111001z1 A# +b111001z1 N# +b111001z1 ]$ +b111001z1 j$ +b111001z1 y% +b111001z1 (& +b1000z0 7' +b1000z0 D' +b1000z0 S( +b1000z0 `( +b1000z0 o) +b1000z0 |) +b1000z0 -+ +b1000z0 :+ +b101100z0 I, +b101100z0 V, +b101100z1 e- +b101100z1 r- +b101100z1 #/ +b101100z1 0/ +b101100z1 ?0 +b101100z1 L0 +b111011z1 ; +b111011z1 H +0w +b1000z0 t' +b1000z0 #( +b1000z0 2) +b1000z0 ?) +b1000z0 N* +b1000z0 [* +b1000z0 j+ +b1000z0 w+ +#44020000 +0i# +0'% +0C& +1_' +1{( +19* +1U+ +0n, +0,. +0H/ +0d0 +0c +1>( +1Z) +1v* +14, +b11001z1 A# +b11001z1 N# +b11001z1 ]$ +b11001z1 j$ +b11001z1 y% +b11001z1 (& +b101000z0 7' +b101000z0 D' +b101000z0 S( +b101000z0 `( +b101000z0 o) +b101000z0 |) +b101000z0 -+ +b101000z0 :+ +b101000z0 I, +b101000z0 V, +b101000z1 e- +b101000z1 r- +b101000z1 #/ +b101000z1 0/ +b101000z1 ?0 +b101000z1 L0 +b11011z1 ; +b11011z1 H +b101000z0 t' +b101000z0 #( +b101000z0 2) +b101000z0 ?) +b101000z0 N* +b101000z0 [* +b101000z0 j+ +b101000z0 w+ +1X +1j +1:" +1L" +1!# +13# +1^# +1p# +1=$ +1O$ +1z$ +1.% +1Y% +1k% +18& +1J& +1u& +1)' +1T' +1f' +13( +1E( +1p( +1$) +1O) +1a) +1.* +1@* +1k* +1}* +1J+ +1\+ +1), +1;, +1f, +1x, +1E- +1W- +1$. +16. +1a. +1s. +1@/ +1R/ +1}/ +110 +1\0 +1n0 +1;1 +1M1 +1x1 +1,2 +1W2 +1i2 +163 +1H3 +1s3 +1'4 +1R4 +1d4 +115 +1C5 +1n5 +1"6 +1v' +14) +1P* +1l+ +0<2 +0X3 +0t4 +0} +0R" +#44030000 +0V# +0r$ +00& +1L' +1h( +1&* +1B+ +1^, +1z- +18/ +1T0 +0P +1+( +1G) +1c* +1!, +1o# +1-% +1I& +0e' +0#) +0?* +0[+ +1i +0D( +0`) +0|* +0:, +0V +0h +08" +0J" +0}" +01# +0\# +0n# +0;$ +0M$ +0x$ +0,% +0W% +0i% +06& +0H& +0s& +0'' +0R' +0d' +01( +0C( +0n( +0") +0M) +0_) +0,* +0>* +0i* +0{* +0H+ +0Z+ +0', +09, +0d, +0v, +0C- +0U- +0". +04. +0_. +0q. +0>/ +0P/ +0{/ +0/0 +0Z0 +0l0 +091 +0K1 +0v1 +0*2 +0U2 +0g2 +043 +0F3 +0q3 +0%4 +0P4 +0b4 +0/5 +0A5 +0l5 +0~5 +0S" +0># +b11000z1 A# +b11000z1 N# +0Z$ +b11000z1 ]$ +b11000z1 j$ +0v% +b11000z1 y% +b11000z1 (& +14' +b101001z0 7' +b101001z0 D' +1P( +b101001z0 S( +b101001z0 `( +1l) +b101001z0 o) +b101001z0 |) +1*+ +b101001z0 -+ +b101001z0 :+ +0F, +b101001z0 I, +b101001z0 V, +0b- +b101001z1 e- +b101001z1 r- +0~. +b101001z1 #/ +b101001z1 0/ +0<0 +b101001z1 ?0 +b101001z1 L0 +172 +1S3 +1o4 +09 +b11010z1 ; +b11010z1 H +1y +b101001z0 t' +b101001z0 #( +1w' +b101001z0 2) +b101001z0 ?) +15) +b101001z0 N* +b101001z0 [* +1Q* +b101001z0 j+ +b101001z0 w+ +1m+ +0=2 +0Y3 +0u4 +0~ +#44040000 +0z' +08) +0T* +0p+ +1@2 +1\3 +1x4 +1#" +1g' +1%) +1A* +1]+ +1F( +1b) +1~* +1<, +1Y +1M" +14# +1P$ +1l% +1*' +1y, +1X- +17. +1t. +1S/ +120 +1o0 +1N1 +1-2 +1j2 +1I3 +1(4 +1e4 +1D5 +1#6 +0>2 +0Z3 +0v4 +0!" +#44050000 +1u# +13% +1O& +0k' +0)) +0E* +0a+ +1o +0J( +0f) +0$+ +0@, +0W +0i +09" +0~" +0]# +0o# +0<$ +0y$ +0-% +0X% +07& +0I& +0t& +0w1 +0V2 +053 +0r3 +0Q4 +005 +0m5 +0U" +0C# +0_$ +0{% +19' +1U( +1q) +1/+ +0J, +0f- +0$/ +0@0 +1<2 +1X3 +1t4 +0= +1} +1|' +1:) +1V* +1r+ +#44060000 +0r2 +004 +0L5 +1C2 +1_3 +1{4 +1&" +1-" +1r" +10$ +1L% +1h& +1Y, +18- +1u- +1T. +13/ +1p/ +1O0 +1.1 +1k1 +1J2 +1)3 +1f3 +1E4 +1$5 +1a5 +062 +0R3 +0n4 +b1010101111111110000000000000000 ) +0! +0D# +0`$ +0|% +1:' +1V( +1r) +10+ +1L, +1h- +1&/ +1B0 +1=2 +1Y3 +1u4 +0> +1~ +#44070000 +0#- +1)( +1E) +1a* +1}+ +1|2 +1:4 +1V5 +1G# +1c$ +1!& +0=' +0Y( +0u) +03+ +0O, +0k- +0)/ +0E0 +0@2 +0\3 +0x4 +1A +0#" +1Q# +1m$ +1+& +1K +0] +0o +0?" +0&# +0c# +0u# +0B$ +0!% +03% +0^% +0=& +0O& +0z& +0}1 +0\2 +0;3 +0x3 +0W4 +065 +0s5 +0p +0E, +b1010101111111100000000000000000 ) +1>2 +1Z3 +1v4 +1!" +1{' +b101001z1 t' +b101001z1 #( +19) +b101001z1 2) +b101001z1 ?) +1U* +b101001z1 N* +b101001z1 [* +1q+ +b101001z1 j+ +b101001z1 w+ +#44080000 +1M2 +1i3 +1'5 +10" +1-- +0{2 +094 +0U5 +1A2 +b101001z1 :2 +b101001z1 G2 +1]3 +b101001z1 V3 +b101001z1 c3 +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +1)" +1n" +1,$ +1H% +1d& +1U, +14- +1q- +1P. +1// +1l/ +1K0 +1*1 +1g1 +1F2 +1%3 +1b3 +1A4 +1~4 +1]5 +0I# +0e$ +0#& +1?' +1[( +1w) +15+ +1Q, +0C +#44090000 +1r2 +104 +1L5 +01- +0,- +1!3 +1=4 +1Y5 +0n- +0,/ +0H0 +0C2 +0_3 +0{4 +0&" +1M# +1i$ +1'& +1G +0K +0," +0q" +0P# +0Q# +0/$ +0l$ +0m$ +0K% +0*& +0+& +0g& +0j1 +0I2 +0(3 +0e3 +0D4 +0#5 +0`5 +162 +1R3 +1n4 +b1111111111111100000000000000000 ) +1! +1" +#44100000 +0Q3 +0m4 +0t +0T# +0p$ +0.& +1J' +1f( +1$* +1@+ +1\, +0N +0|2 +0:4 +0V5 +0s2 +014 +0M5 +b101011111111100000000000000000 ) +1s +1\" +1x# +16% +1R& +1C, +1"- +1_- +1>. +1{. +1Z/ +190 +1v0 +1U1 +142 +1q2 +1P3 +1/4 +1l4 +1K5 +b11111111111111111111111110101010 # +b11111111111111111111111110101010 / +0H# +b11000z0 A# +b11000z0 N# +0d$ +b11000z0 ]$ +b11000z0 j$ +0"& +b11000z0 y% +b11000z0 (& +1>' +b101001z1 7' +b101001z1 D' +1Z( +b101001z1 S( +b101001z1 `( +1v) +b101001z1 o) +b101001z1 |) +14+ +b101001z1 -+ +b101001z1 :+ +1P, +b101001z1 I, +b101001z1 V, +0B +b11010z0 ; +b11010z0 H +#44110000 +0;- +1,3 +1H4 +1d5 +0x- +06/ +0R0 +0M2 +0i3 +0'5 +00" +1[3 +1w4 +1"" +1V" +b1111110 1 +b10101zz + +1{2 +194 +1U5 +0/- +b100100z0 (- +b100100z0 5- +1~2 +b101001z1 w2 +b101001z1 &3 +1<4 +b101001z1 54 +b101001z1 B4 +1X5 +b101001z1 Q5 +b101001z1 ^5 +0l- +b101001z0 e- +b101001z0 r- +0*/ +b101001z0 #/ +b101001z0 0/ +0F0 +b101001z0 ?0 +b101001z0 L0 +0A2 +b101001z0 :2 +b101001z0 G2 +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +1;# +1W$ +1s% +17 +b11111111111111111111111111111111 # +b11111111111111111111111111111111 / +0G +0M# +0i$ +0'& +#44120000 +0Q +b0zz + +0Z3 +0v4 +0!" +0Y" +0!3 +0=4 +0Y5 +b11000z0 ; +b11000z0 H +00 +#44130000 +1Q3 +1m4 +1t +b101000 1 +1^3 +1z4 +1%" +1s2 +114 +1M5 +b1111111111111100000000000000000 ) +07 +0;# +0W$ +0s% +b11111111111111111111111110101010 # +b11111111111111111111111110101010 / +#44140000 +004 +0L5 +0,3 +0H4 +0d5 +0[3 +0w4 +0"" +0V" +b10101zz + +b0 1 +0Y +0R3 +0n4 +b1010111111111100000000000000000 ) +0! +0x +0~2 +b101001z0 w2 +b101001z0 &3 +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#44150000 +1i3 +1'5 +10" +1:4 +1V5 +1Z3 +1v4 +1!" +1Y" +1]3 +b101001z1 V3 +b101001z1 c3 +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +#44160000 +094 +0U5 +0^3 +0z4 +0%" +0J +#44170000 +104 +1L5 +1Q +1=4 +1Y5 +1R3 +1n4 +b1111111111111100000000000000000 ) +1! +1x +b11010z0 ; +b11010z0 H +10 +#44180000 +0m4 +0t +0i3 +0'5 +00" +0:4 +0V5 +014 +0M5 +b101111111111100000000000000000 ) +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +#44190000 +1H4 +1d5 +1w4 +1"" +1V" +194 +1U5 +1Y +1<4 +b101001z1 54 +b101001z1 B4 +1X5 +b101001z1 Q5 +b101001z1 ^5 +#44200000 +0Q +0v4 +0!" +0Y" +0=4 +0Y5 +b11000z0 ; +b11000z0 H +00 +#44210000 +1m4 +1t +1z4 +1%" +114 +1M5 +b1111111111111100000000000000000 ) +1J +#44220000 +0L5 +0H4 +0d5 +0w4 +0"" +0V" +0Y +0n4 +b1011111111111100000000000000000 ) +0! +0x +0<4 +b101001z0 54 +b101001z0 B4 +0X5 +b101001z0 Q5 +b101001z0 ^5 +#44230000 +1'5 +10" +1V5 +1v4 +1!" +1Y" +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +#44240000 +0U5 +0z4 +0%" +0J +#44250000 +1L5 +1Q +1Y5 +1n4 +b1111111111111100000000000000000 ) +1! +1x +b11010z0 ; +b11010z0 H +10 +#44260000 +0t +0'5 +00" +0V5 +0M5 +b111111111111100000000000000000 ) +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +#44270000 +1d5 +1"" +1V" +1U5 +1Y +1X5 +b101001z1 Q5 +b101001z1 ^5 +#44280000 +0Q +0!" +0Y" +0Y5 +b11000z0 ; +b11000z0 H +00 +#44290000 +1t +1%" +1M5 +b1111111111111100000000000000000 ) +1J +#44300000 +0d5 +0"" +0V" +0Y +0! +0x +0X5 +b101001z0 Q5 +b101001z0 ^5 +#44310000 +10" +1!" +1Y" +1$" +b101001z1 { +b101001z1 *" +#44320000 +0%" +0J +#44330000 +1Q +1! +1x +b11010z0 ; +b11010z0 H +10 +#44340000 +00" +0$" +b101001z0 { +b101001z0 *" +#44350000 +1Y +#44360000 +0Q +0" +b11000z0 ; +b11000z0 H +00 +#44370000 +1J +#44380000 +0Y +#44390000 +1Q +b11010z0 ; +b11010z0 H +10 +#44400000 +0J +#44410000 +1Y +#44430000 +1J +#46000000 +1:# +1V$ +1r% +00' +0L( +0h) +0&+ +1B, +1^- +1z. +180 +032 +0O3 +0k4 +16 +0r +0l' +0*) +0F* +0b+ +122 +1N3 +1j4 +1q +b111111110000000011111111 & +b111111110000000011111111 . +b11111111111111110000000000000000 % +b11111111111111110000000000000000 - +#46010000 +0h# +0&% +0B& +1^' +1z( +18* +1T+ +0o, +0-. +0I/ +0e0 +0b +1=( +1Y) +1u* +13, +b1000z0 A# +b1000z0 N# +b1000z0 ]$ +b1000z0 j$ +b1000z0 y% +b1000z0 (& +b111001z1 7' +b111001z1 D' +b111001z1 S( +b111001z1 `( +b111001z1 o) +b111001z1 |) +b111001z1 -+ +b111001z1 :+ +b100001z1 I, +b100001z1 V, +b100001z0 e- +b100001z0 r- +b100001z0 #/ +b100001z0 0/ +b100001z0 ?0 +b100001z0 L0 +b1010z0 ; +b1010z0 H +1w +b111001z1 t' +b111001z1 #( +b111001z1 2) +b111001z1 ?) +b111001z1 N* +b111001z1 [* +b111001z1 j+ +b111001z1 w+ +#46020000 +1i# +1'% +1C& +0_' +0{( +09* +0U+ +1n, +1,. +1H/ +1d0 +1c +0>( +0Z) +0v* +04, +b101000z0 A# +b101000z0 N# +b101000z0 ]$ +b101000z0 j$ +b101000z0 y% +b101000z0 (& +b11001z1 7' +b11001z1 D' +b11001z1 S( +b11001z1 `( +b11001z1 o) +b11001z1 |) +b11001z1 -+ +b11001z1 :+ +b100101z1 I, +b100101z1 V, +b100101z0 e- +b100101z0 r- +b100101z0 #/ +b100101z0 0/ +b100101z0 ?0 +b100101z0 L0 +b101010z0 ; +b101010z0 H +b11001z1 t' +b11001z1 #( +b11001z1 2) +b11001z1 ?) +b11001z1 N* +b11001z1 [* +b11001z1 j+ +b11001z1 w+ +0v' +04) +0P* +0l+ +1;2 +1W3 +1s4 +1| +1S" +#46030000 +1V# +1r$ +10& +0L' +0h( +0&* +0B+ +0^, +0z- +08/ +0T0 +1P +0+( +0G) +0c* +0!, +1R" +1># +b101001z0 A# +b101001z0 N# +1Z$ +b101001z0 ]$ +b101001z0 j$ +1v% +b101001z0 y% +b101001z0 (& +04' +b11000z1 7' +b11000z1 D' +0P( +b11000z1 S( +b11000z1 `( +0l) +b11000z1 o) +b11000z1 |) +0*+ +b11000z1 -+ +b11000z1 :+ +1F, +b100100z1 I, +b100100z1 V, +1b- +b100100z0 e- +b100100z0 r- +1~. +b100100z0 #/ +b100100z0 0/ +1<0 +b100100z0 ?0 +b100100z0 L0 +072 +0S3 +0o4 +19 +b101011z0 ; +b101011z0 H +0y +b11000z1 t' +b11000z1 #( +0w' +b11000z1 2) +b11000z1 ?) +05) +b11000z1 N* +b11000z1 [* +0Q* +b11000z1 j+ +b11000z1 w+ +0m+ +0=2 +0Y3 +0u4 +0~ +#46040000 +1z' +18) +1T* +1p+ +1@2 +1\3 +1x4 +1#" +1q# +1/% +1K& +0g' +0%) +0A* +0]+ +1k +0F( +0b) +0~* +0<, +1U" +#46050000 +1p +1C# +1_$ +1{% +09' +0U( +0q) +0/+ +1J, +1f- +1$/ +1@0 +0;2 +0W3 +0s4 +1= +0| +0|' +0:) +0V* +0r+ +#46060000 +1C2 +1_3 +1{4 +1&" +1Q# +1m$ +1+& +0G' +0c( +0!* +0=+ +1K +0&( +0B) +0^* +0z+ +1D# +1`$ +1|% +0:' +0V( +0r) +00+ +0L, +0h- +0&/ +0B0 +1=2 +1Y3 +1u4 +1> +1~ +#46070000 +1#- +0)( +0E) +0a* +0}+ +0G# +0c$ +0!& +1=' +1Y( +1u) +13+ +1O, +1k- +1)/ +1E0 +0@2 +0\3 +0x4 +0A +0#" +1E, +b1111111111111110000000000000000 ) +0{' +b11000z0 t' +b11000z0 #( +09) +b11000z0 2) +b11000z0 ?) +0U* +b11000z0 N* +b11000z0 [* +0q+ +b11000z0 j+ +b11000z0 w+ +#46080000 +1M2 +1i3 +1'5 +10" +0-- +1A2 +b101001z1 :2 +b101001z1 G2 +1]3 +b101001z1 V3 +b101001z1 c3 +1y4 +b101001z1 r4 +b101001z1 !5 +1$" +b101001z1 { +b101001z1 *" +1M# +1i$ +1'& +0C' +0_( +0{) +09+ +1G +0"( +0>) +0Z* +0v+ +1I# +1e$ +1#& +0?' +0[( +0w) +05+ +0Q, +1C +#46090000 +11- +1,- +1n- +1,/ +1H0 +0C2 +0_3 +0{4 +0&" +#46100000 +1T# +1p$ +1.& +0J' +0f( +0$* +0@+ +0\, +1N +1;# +1W$ +1s% +01' +0M( +0i) +0'+ +17 +0n' +0,) +0H* +0d+ +b11111111111111110000000011111111 # +b11111111111111110000000011111111 / +1H# +b101001z1 A# +b101001z1 N# +1d$ +b101001z1 ]$ +b101001z1 j$ +1"& +b101001z1 y% +b101001z1 (& +0>' +b11000z0 7' +b11000z0 D' +0Z( +b11000z0 S( +b11000z0 `( +0v) +b11000z0 o) +b11000z0 |) +04+ +b11000z0 -+ +b11000z0 :+ +0P, +b100100z0 I, +b100100z0 V, +1B +b101011z1 ; +b101011z1 H +#46110000 +1;- +1x- +16/ +1R0 +0M2 +0i3 +0'5 +00" +b11111111000000zz + +1/- +b100100z1 (- +b100100z1 5- +1l- +b100100z1 e- +b100100z1 r- +1*/ +b100100z1 #/ +b100100z1 0/ +1F0 +b100100z1 ?0 +b100100z1 L0 +0A2 +b101001z0 :2 +b101001z0 G2 +0]3 +b101001z0 V3 +b101001z0 c3 +0y4 +b101001z0 r4 +b101001z0 !5 +0$" +b101001z0 { +b101001z0 *" +#48000000 From d6fcca28ec17a29a2a3ecc23026a9f96db770b64 Mon Sep 17 00:00:00 2001 From: changjun lim Date: Thu, 12 Oct 2017 23:15:12 -0400 Subject: [PATCH 31/31] Submit report --- Lab 1 Report.pdf | Bin 0 -> 650068 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 Lab 1 Report.pdf diff --git a/Lab 1 Report.pdf b/Lab 1 Report.pdf new file mode 100644 index 0000000000000000000000000000000000000000..11f23e5a59b36951264c520b0f105fb06044a5b2 GIT binary patch literal 650068 zcmeFYcT`hbw>Az3Ma6ai0}6-=H+CEaSL&B6q0402`PeQWE8InN$Ut%2`MQn z3dvqm6tWhQHWrct3rRzSCUzK4KcOE+ z(D3%~_w@1;Vyb9@adLEXz@7)s&a8%J7KQ^-nH&Q8fu*-2K;5iBcn z%|THf>|n1Xt0ZqHCu65%FX!x_{(r7v{i6%~uox#hPmZ8e2YbicUtDh6-@bkA1Tgu? zEs-o)b4T8nl4nFN%viG&1z);9U{`!Q0d{Ng9{+of(?2JBu{sytzHPs+a*NO7CpKY8 z9hTGi96mq#^iRzH3%&dAZS`L`=lI?Be=*y{&c(@3NM7-e?)ZZq8g^Ja4{w*h5mrv& zZyfqh$pRCSm67`gr(|Um|E;ZWGYxn9cB0*FyW2mjw+hv>9KEe5uk+$T4)i{BtRh-x zbgV%C+F6-VNnTr@UmiTTwSV~i4X%YUm-ch_5hKI*@W>Bbt~URWnDte=pa(jWR7GHGo0tM?DG z$}m5QOg1Yi%l)7%llIJ-|1gZHlb^Rg#(`<7AD#dS!fL~?Ojr5+Oj|}s{!ct@CgZRD z0j(`3B(MB?_J@CHFO;AE=JZ2R|OIL6M)&)FN}$;9|a z=+M-F{}niNoZMVou|l$nGR*5Re|zljLFaez{}SgfiKUTlj?5S&E2r>x@_?0?*7&Ed zejoV$h2>{GEnO`ZR#p}kR_2H0`w)u;3;U6y>_?BVvma$Yc8vWv$Il!bCr)sjJ9GBb z&%Ec(pXWWt!^3w`^b#MxumBH_&{ZK}G4U&)D;F+F%1B<85xson@(&Q!W5`V$-L4}t$YjvZzHiS5X7 z))UOjHb1klva$WdcJwGy1rGM(tfyI6nHP_8vLEC6MfN!NEvVf&pzniNfLvw~ukf#? zA9zIM?c=)6iz;Yf9^#8@SH)m{Z^zcaikc3O;X`WF{?_iF z&HpIn{HHX3l`>dPu`wm(WaDJH!LpnEm$3hHDIewK@M$n{>u6t_BTbGl=7ECC^5v!Z zkm&HbSl&1i78RUXQr+$#Fhk?(BOnky&+gy7kHbC7%gd|kGGRHEs2?Q|c-eCu@hf94 z3tQAf?ulANU~P>~>#nzUVSNbHqt^9-0rwyw~)u{b$ijrU}9&{VwrPUAKSYta3X`QZ@hIa72vxs!xrlggs+Ft9_E%R=>~GMn+R^i4;m;bW>c{Ww7#1qf zXG+?Z^#d|9%Pf{UN=xyR%gs@GWXxT>aUkL80hW@1XO;CktBj=^!*i zd$oB~u~bW?K_IzRI^_z*i+yx%B+zr)ed;7nfUK3V1(#2!Q4L2^h!pS17q;ivJdfnQ zt;wt$!A_00=gsp_W^$$E7-{gT5qXOiK->7TUhVhi;R~&rQ!V?%Q+xnZ`HAB~B%g zk_{wcuhm@nP5%C??Z;Kto7)3@qaJ{>4=GRZ=`P9{B^_IN1-6h@mmaZiPK8e0ofeWa{foSeh zY)=JgxC}kG*QC~YFX%Zsw6%P%wTkAq$`z)`iq zr2UFypf&?%F$|H80!9bU4Eg!2m!8zL>49bmI_y=o^l$sF3SNXgW`w1y==+Mo>58f`30NCfG z1Gr5!Cjt=cn3bWlvs>vKC^eCEf=m(=vMm*#;Y@<`PJ>E<^l zg1TN#YA)7gBO}&RTJM&VsZBNGFWk>@Dy=dQ=R6D4&io%Zla0O)Y$R2a0Zo zqB-_NGhjB-5v3{qwnmb7#8t#sOHJydje&fM}zTN$` zv`)jP8m$?{pd2VsQK*JChv}-H%etPGug#3-Ju~^g737%Q>fc&UPeQoqEr8YfC_SQj zU^PmDuP~;cX6&)?5e@(H zCMfu*0am^=y{OZ8yR>7`zrfH%o9$!mPjbD$Lrsr8c}HQkMk9~Wgt?!N>M${CTKFE zGi5@eT^Dk9cs{LTq_S#vUT@x-+k@P2kvAyc$;zA*Wd`PDhThJ-fxDcrZH-HVO}-@! z_!d=OJ_gPI^zMQrYI7CZCT!<79EFyMC8e%4q!y0OeA+!`=@#l-+(YNFS~O047%1E6 zE|`y^Hcw8c=Q$SiG5DRX!u#g0hgb&1@(Y+WK6mHjKK?ACCrP-MJ=vKta@rXyT{h>g zFY@%_aNNzfYEl|+#5dILjMxM}KR;hHqfeIj*d2EK8HNSTU#EN2i$32BDi6&mHQJ{^ zIR*M8QmXb-pJf}nmT}vTY)Z!U;}xERi>$7^GKBilj7REszzELR4TG^N)%EiAxeUBN zIOP@8Z6PY9+ELGP_L4EMu^a<#d5-@UXDDkooK{>USC?DRCu!)MyAqbLoa{Q!N5Rl| zG*Q$6giT~ZtDgaD*^?$ifSvTQnmJj>BD z4*YE?V|UMM8U-OxiHl2V?=ZmP;xP*~;1IfU6Ke=T7Wp=Igvr;Y?#p)FObvL`gF!He z5_yG)wLa7|p+p<)k(^6JB-qf$7V0CzTZ4b0GPdQKi{5@i1ieGM@z;`Ccl)!C6Q}qQ z+=4g~%w1A(mqFr7X$1w(WQMfpeLEj*jv|JeeUnl(b``X8s=(19fQ!X(>Ctf_V~#Rf zu|>q$h?cV^{|Los{?fg9Px`W&xUM8(J}C6r1@5jGZ@w&nO++K007FwhR_M9;(ej4I zU_Mk)Axu=oO8#YP28dv@@+tv7$Z`?fTZDwdP2pv@6BAfdrE6`dm+;*y4~E)B4Kp_r z1T!+pBr+ifxr2d|Bvxn0MJ=2%xGBY(?8o$6m(;THX(^a4U2oly1_``()z%hfqbbhi z#21(QY@I%MLiwjoZChi2p~#~7)DyF;m)nJKxJ}+|?DT`~6f~8dC~>sr39)_5!akg{ zIbEmblCbkX)cSv!|7U^!ss&hUEgT}`B?js@Fkd!mW^UF)!u%zmFx4qEX7|AYyKLE> zd|K@gwHkpuub1e*n-&>d3LNu->s0%GF57#*^QbXNKZIafkpR1#tow={611B+8y)1> z8FR6}CNOP^KdRQ`77<|bR+cEj86EcLGY?{d9& z(lDPFS1F>ER)dEL`rKc4UtbWiOCyzRsBdlermh_#FYP>|nq?$cL2V_yjidx}P+@l} zb{`}kdzilAP7EndpMprl%H_2%ri}6FFVV#5z*F)K!bPdzS#pew%Kd-XgSG#2!*>?T z&ZS>^=XVupmh%-@j9+bY^8WkHM;|1o`%f}jyx`F4mKW~1Xi#qN_}*6L%ZZ9lN^0^Z zohxj>QgFm*|*3%F}fL^rVb6I1PI|b3oebdvUj(4Y1 ze=7o@wn)z0YpRQVA$F^!W@rhGtUwWrnRfX8&CW+06>p8QQt9t3F{8w#*!6vbDy}Bg zt0^Zgh?s%}nu?kWFwzi+QQk3`e<<*K#vN(sZC^GjQc{6Olk+{@Gjz3$MKzymhmDFj zAow%Rh-x_6ugOy2W>fOv^URUlzrFi^>5Q-RZP!P-cdjR5dFz(p8H8OKeDAsrd9Oor z+?Kf5AM!wf5>;hu&0)NFt}_jY^jV{E$NP;X)H-gu>DT$6Nh+~%h_G|2jRTcLStq#g za}F!7HTtke?p`R#LOwEGP`sh+J`@rk@$M`eK`kk3!hIf0smu!rs#zzd+tSehlOx4y z5nbNKYp_=YW+ZrO4dLa)sYBvdxo0SG!7y78>dLDl3+LajtnW4#mk59 z8Vy7|4}ES{NC%u-@M`nyu{ZD0v-*mVO_)IJt~qAj7hPWzV^m*?Qs`6uT+-V582&YM zKbo+}^SMbP!_bqGiwuHb@{GT(BW`kiXZfV{DDaBs)_N{Zea4jboyB9}JIk>HDq^Q( zV`Rlw8c>^qdADDi$MT}krM=jKvxPuco;hI+i`lDVj6@GTscnweHD>yUy<{tCIn5UA%m$ zM8W9=I0ocrSr2cjJBno$x6Y{&7I;29VpmV3Zxl60u73EoD_D?*8;YKE5AM1Hr0B#e zFSL-%ZgTD{S}WvDy+SQS2$EZtEBw6cMt)udr?u{&z*=t#Ln<%NQ6gU$nH%YT-A!aU zk{lpzOk1|_t|&DtlsYz&o)mnl9m+w;Q%mA^CXd8L>~KXV_vvm_(RZ$f$05F&AwkfP40nuVFF2pTXg^&1#9X zo~?L&@tCdjT=xJguaqr}oBmy7XB2F%-sC=alSkw8Rve8fnCMp$3I6gy z0#!xNznwEVVp;gsY_!*4?t-CjE(Em-F{`>Vn+%bMhf3tm5|j0H1L-o|TF?4mO+m>; zMe?b_(BNN;Ss-Aeq2ZD++(sTYv79bz*45o&`eKVNng2x70Wr+cU)GeN9;PQX_<4%X z_tS?EqIn;oI5y63WZgUX`Hd4}E5P+td8Jm@g{x&87O^~6<|duf`bV%h_V7SMt(6Yd)%D3M)0YRN_ZUU3oX6pky}3ZZjmes0 zRjd?OHQ`%X`JS1twObUTSI{IvNQai*_rZ6T5P4^+Jq^k| zkf6Lv`+4N*o6?j{4K@Iv=oUZsiO03zpL=%3lZF%n&6*O7_>Q%;?Y_LXFJ|k3?tACC zSzuMO-&cXGOb^aC>>Our{a4bSm>M6Uy)6!8Y@JCVgwWaqq|2I@*=I%|@lk$lq*eb7 zRZi#%sK`pPUlZ*6*v}0u@J=u<`KRm?=uh7KGs}G&_=!ikcUpD(jAJ+`@*6jJ4VNp2 zI&Ce+5w-(w!MuF6~$=%tEtp9+oX=J?%>Kv>~s7>x^*KSYCe`NR(X;>Ej% z`o!@?ycPF^6f7Mwbtvv{Gi-A^=kQ(yBaufLTIF>Iir)oJ6n?ColYB}kHou+e z{AFGb;{I7UtIQx)qkhI;6W*z5FhiOOc0Bo7q^$&L&{!}$f=<0CZ$@AJD)3IT|E?fl zc*H5(=w8Q#gZGFJq6cUCl_}cGX5|PBP)Z{9#@O|KXV7r|_;3LxZ@5t6q4o{?Z$^uK9QmTH9*G6N@RL@+Qmq$Y2R61Z4|o?y zT3H35Z-aCbkTFA-2UUqKoJ&-Mx%>04rUw_8dth~MfkScEpgT8 z0@nu3?<{bRS&?%K)!S1@xDv#A96b{rlX5{Yc@|fog@4uexXRX>XFdrX$>x!;YEX3b zD%nZH(dZmJM7f&Nuj}UE&3{Fz|FLW6y&OI2kUNR^D*cM2zodZ}QmyB?lFROs-o?K7 zP-W`nZq;3CD}5hoI6r2dp`HO3a2|jz?cnp>#9!D|gbs zaU^B#OTKx_BDN_6j^-bzZ2UxNg_MvFK9$3^A9Nunb7O;@pxoCCz7}e3!9?u9HK_zT z!*s@u5~o94z?-Lu+zXC;0f%?S;aR1-Edff`2bPdD-%$Gz;6$mFDN;mn-UUcT@l&4NWHJ2gI)=eU!q#~@ni=y3FweQB9Yc>y)B(T z6wGp^r!0{Q63!_!_bCl7Sq~ReZv>k`+=DTbkH-{kz6B(Pf$tUIBY&;4Li#)!7@elW z^`%0o@t5<`K68EDm}n^-|DBF`_n38)YFzajQ&WxbM3M`}!#5XK_$3*JqIpHB zy@C|4-76k0D6?>}ymqy_3=H62AM-Gu+@`=rjcnDmlU{N;%nGo2SQ^>j2uuZbiKRpqqKSbWWEAvlnACr+8K5lHK>c@{CQ zuh_5erZVElxBY%$T|GE=*%oObeX2lP4Y$06su|xf!%rkC|5E9`%dtm!@@{CcLu(kF z=ln1YF|5A#GB17SsZ9+#Uke1=6?(%vi)zJHy5U)>)z>B5#bpsotREGbY9GGo*)NfB znsOw4#==nhC))WqxZVJRViS=7Hz3YKAewL#J~mRSAMT!Lcz0yGf;0th@RTH7Nga@k zmDWUqgIlJj5UUH1dItL_6}Znt1%F1dUTc)RufK>~%L_kZf;=yCrfM-5h!+?6sp2f6Bi6gq+Gv*&#ipTP=UqOQm}`oahA#F69Mmy;w`~`ek)BRDam{3h}q?P@SpHM zZu{Rqv?{Nz-2)bW^%Al=u&iaiGL;QaXQ(D#8E(8KoP$wRK$BC+k2RaX!XxoOM=Ldh zSm0`br_rS^`!|kHegld(pA`DLjH*fQX{Xai)WZ5G9M>;fHHh@6o$t#f5yfKyyi)oq z2qRP;t>FtN%SEeDsc*V#Ny!kcu$_IG$o1)?&(Eh_Z5z7!sSIv-3*3_tG|#Ra8)7Fw zdUxY}+uxgD-DvzW&ygb4cU>-p5!g)5Bo^h~Jtxy?00;}3@zDG}{8mj+UAqw4hy z#rwabCu|40CmGCxl6>q7p~#P^YWK1=XBH9*+m0GR#vBr^<3VxJAEhlyQxbzYxh%-& z$@q(aHu>VCw|~F;fBT1>*Q!FxwQ}#Z!N;MnUyEcjLMsE5gEmB}qougaJg>g!mgQqe zJpWV#+m5wtQQjZTX>?6ikj9y1WrZRr=&$&7IB+CxHu+Xre@alS>9v)FtLVxV5V(jc zztDX0?<$;4n_q@o9@ZQbQ14`Hvgx;rm@$ss)3#O(a}K#XihvdvxpiKu&QPz<8O;b; zxz`%xHYXLRB8Rdv@pO?L%*VS7DTLh1R&go!nD+QyBxrsKNm$-_ zVoP{~C>FE6aOv2crmX5Fhq!876OiQcp5r%`Q(pLrgGh87)s;*x7wFqLXOdrB35sYT zeo3C0d=eEh96AMtmu#n1_9h*5;mD@A-;Np$LI&Saevzcrq*mz1NAU_mz*6plLn%G_alX(SD3?KC=EQ+!g?D(x8H+R*RPWHUWudD%-*pREl>4!go{R{t5Xlu@#_G z#hF%G2ltZm)R`-JJC7p6v63;@_P(>A2{)<=?u(e(1m?`u@g#P4kv7J|{Dvdu9>WTU zc0SBb=_@{u@@=`OO75J@dCV#l9dic(tD?;YtQ*A|y?Z|+nib+4@zGj8`wLR++C)|? z;@v5NdX5k4nrOe#e8rjWJ`PW)EdbJ8Jf>^0)TQxk(jA~aZl??cTg51-CoP=>I1WjZ zhP-0CKEBACkw9`3Wig7yKbXfDCsUR~<8n*bdrh_L=j^t>4G&|q@^w28!gqO)Ma8lo zWAmn!Wu|FzxOBZ^?Yn8K%N>&d72fP{;k98;a5O{xi! zv3=N(rkj=oPxzS%SWhY6yfck+P3N8L>Ir-eoyuPS|=BO8=^K9-{F^c5LT9XLE+Id{u&ATgh$>ii}*W zcml)+Re;fVL3cgcL}J`Z9yuGRNn-blqERPe>R7yP{)g87M+>+Y8ovzBQXg-lB{}aE zX64**gZf528%XJ&7>(lHHE>A*&cr>q#lmuoSEo?|+To69dkjIje7U`~jCYRfDvR8V z6=}I;G#4it$e}a2SJ1(G0ioHUdb1q?hv*tgLJXpC$6prf>_~AIXtt7eZ-2s4)@IY7 zdG^H&DM}c&dFp{kZk%F!UFxcG^RsH+iE!Ee>Oh~Y-b!~#4Pb81Zrqh$NZwcY1}CE^ z532NfZP29j<22MJctri{j#B7gNSVlu7Hhu2E5saopFN0Gi1nY%MrWTF0OZ)|`= z0$M?mk)^C#m=FitmH3U^_pTxE0aC1#8u_vvO0Be7@f-Ap?@CfQa!*?G zTcVtBE^1B%H6N}s@_{EkDmoC}T65I+X^ix*!+%HkD}Q96L=Ah4kJD1_@5#C%cN zI$QY@;&MF;Ei>RSMI52utYjSutBhEgsI85H*xb=6 zZyi%>k`~dpTRIzRcxFUNOBl6h*>xSK4HUK&=K`ti#~+_UAYIxqXnz&%EYb=#kRuOG za6DJk)21_b17mIi=AK5+^D2B~=tM6DUkyAqJap2NQyWxx z3HuDU1EPjabzojbiu0NgLoK@rp`C;u?r-rhAQ+g=kwYyD-&$YQ!d-Shnb76j@Ti zhpVU7L@SX3nXATN%+$hj66t{mJs`@^nNc7eKHB4qFQoKa!c&@IJq>ynyf5db$WFYXB_B=nO z*DC+IO?paAO|%{&Fbt?&{~O*9tulf@Xikz+6Ab)$?)O@S6XyzF6&Y$9qcaUY&CLf_ z4s;#L8B9*DJd{?~DL24}AN2w(P}_VfwHk-}+Lr*bO)W$v-}+6Xa-*v$E6%N0!r(2#F5hRDB#Ba6Jy2!jHxeNz=$_|rjkWwlFNe_I zxXXIKHzXylF5nFmL4)>(QfAgxz+{{&AeIZbe*Gc5eefMaUqpk5gDcf#Em6hvZJ}d z-WIfM1J)o`*$u5WKY%*8GZ#>(Yc7`0)G|0#18W zDz7N(M(EIZ)82cd?WL7_Zl^mZ5E#Yuh&+mor_o$h{HGGm)9l;Q=+hr`HtIwx683d> ztd}93{Y3AdY)f|b>KQ|}b5XaDqNq~xBlH!~SWe!-T!`QKm#b*tJ$J$LdJi`4#=Y+2 ziwOu6+{3T%RQmIiUwJ0t3282VX(yrO4$5NO0`!n6*=euMkhf;8OHNL2-1~v}LcnKUXqxTs`v89Xr*+SsUZ2xQG4Wby}K1 ztFD6uh$o=>qdB9|2~}lilg?f}xZVa8O&lvI^mHg>t~C@O#lg5&`@OC;<}<5s$gIJa z?p?xCRZ^NtuGgl=+qvFQ2O=@TT9n(}`m@fP_1cfA#`YizC-KYcuoIB{%`LNptlkWC zch4ZYiwBgFn=OezG;ypsoO}Uq%1n8kPbi1hA#XuX8(wYKZcvccE?Tm|MH}fohC$s_ zoD=(1yuI`Ao&m9XZXR!X;KDYI2xUNf@?tHxBSg5kd?7*edVXl7Sb7f}9`Z0{1TcS5 zvsABDOSz<|yS@@%P_}ZAOszaTM?mRf9okGWL^U8;GT!}g!2)* zhIdI{PWMo)QY+nbjiTB>UcGPLbOdeoNU=?m@-!x2__bHDhl z;>zoK77`%ecz$PraOL2|TC$C2b&cK=N?JZGmugCjs*l%>5JsR}1X5pi@YWYy!u8Rh zs9pr5)#>`B*JsL%PrB$>Uv-nq<&s6E>ZJ#*%kW1S=Yck@`!cjUPpfU~l)L353}2?V zx||HJEB6cEXfn$ESlCU!o_IY}?Vaudhi4#c1Y(<47_U=gngzHhoE3ZVDR6a+lT%q* zNWJ>l`JoT|mJ}oiD9*KI3u~|rp$^fBwirACDa9$4mfyPHdp1b+ES-m4bI$q>HQR8n z)3SUuL-i>-l+CvxluCd_+(>I`SKWP(#Lmj-(JzaPgbv0(rMNp7_#c+x56+&}nwrJ= z*E34%>Fe9G{p%)*pIp61PuJKx`FoP5r0xl~DDEtM1f_=HWBoEJ1)}FxUC;UG&WHZ$ zS#>g|Of>dJ$n`69^{QjYubNA(xt0Fp0OSeWiRzW76-Tk0?^a`n@h}d1V zGw)UthH7j(mQ~ljvlLY(Nq@U3$&#p1!?+$GYv;KP35B-1d%{38HJQ;nw6yOm1S>95 z*416&LMl+P?Z%Dw9JfW~yq!ufr+&jmm)ldTjNJ1(An1_20U4?q-V-}d6pQLJ2z>G4 zs`vhF6zlQtEGJG{GIxmReg4`N6m~cjkuaN#{IX#hkWkil1d6;!ll2y<~I0xFx1 zGMcW5e7war%c3#%xqLE^Ex&YjvV$|a_gt0!DswtFJr`>=S=`#!;QCgl$&S;Zw}cXa zY`+nGlX@ywJ?sS7X0W;={WbuJHv=3oj>B0^?bRFl5k`BuUoe^rI-Nyg(U zmCn!T=ldfS%;z0#l(KqaPP=dbflk3+9aQU<-n(w9L};6AE1F*!cV|p#i+0EEcgfea z8j-)VBrj$6^9;uE8qMBzOm0iFV2e$y@>x}U;~u-9&z7_N*)Kb4MDA@7Y!#ulxr=Kv zNq)F=3+SNisew?Zv0XkDT9}wf?eOmi=Nm!*r`46lECFn=r|33Nd)Ke{xz!zgdilKd?_ zU2#IyuqplK!7;(LmwQXQ8F||jpUJ8DW3$)$f}jHy4v=-^FQ4|(*Z|P2-U9d}ErGay zbL-Iw^@5(?0@Jn*yE~SAHvJu43%+iMRSexN$w{1AG}~Je=+?}OqrE7G7h#fjY?_3F zgEnklTioJ!vwh#mALZLdklIq9+&fD(F>O8MnTbyXdxZsq_S>wB$MmuIXv#y!lw$|| zKu{Po7{7a2@by1q{C^|IS32J|ycAs!e{HOU?)RN#>{@-mJwMyGmWCER16}H_Q;>aw z>8bj7&kkY~6ctTHh;cV<8G)BORy~aI4=LKRaW*;MqAkhQ|BTHS>;x*pt@PijeRh;Jen_|*&O8?OM8^}O z+?u*e?W_%wHhsyGq;kuhlvKSnlw%WpZxPD)5+1c_gnp+wIq%hDo&BhiJlyVl82k}& zHKy(WU|UaS5g`}DyFbpkgBvS|ArmVjZ&GVcX}k(h??^VDQZF$pRFxbXxw8xKIZ~h~ zp{pVRh2G1%f6+NtwL| zIiJoNg=n>R!4l-eZK~A;s;W+ZVLkE2w8?GB-S6RXVO)BcVqh1C!?^pzxJ6^ z82&4FUaVRViC|3dl~?$bBa^7y4Z*wO(MbKJgTpe!r}?y|Y8Yx6e%ki->&ys;?Cj83 zXotZ@Xwh@f=2or!-zSp=PaY8B4jd^|l=)1TS?#QtJE{QT5Pve<=xG(}WQi5glZT|@ zStEjrj^=^Y2_k~b`?4&PZQNUa{sa~rfWzH6stvQZ4DY_GKvJJmbTXYZ5y$K6kPi+n zKKGkWPoli#{BrqnMab#7ac*L&M%Bx>L?X>VO1+)q!dNbX&kIY|7hj(zFnCh=@xert$ zF2nnV)FV=gA-MDYqRdwpymWapV+*qmv58FAsXpsb{kr7s6r9TNS7Qi>pV~B|o2en> zACLPXZwNni18kdbo^EK5>Vlyy9pkILxj8A?PS$g6Ygawd;JheJO`~V`wXLh^QrbD{ zL+-gP;by{1t-YA9PMGq2qtD#|WwFubiYe>l=eeQQ{5KR6z8t}*c~&A?_B%b?+_*2a zTaSYGxx>oVxK&@$@_!bzaULHwAp=1k9|`FqZYfDP=V*-U^<#6+EIRQc|e z-pas=Zpes!#r(joQ(~iVOPTP>L z5aDQO{A0st#N*l@lQ^t>Ux6X`n#;q)E<|1~uW3&;;L;Hzhyh4~6Z6!Et#J=gJ&)Vv z&;|w9(hrZ>4kYH|50W)r%QwCb>|&`y%+7WfkJwxTM~`dcfzr|y zRj5yOp3*L_6jS^qKf}~j3YN-4wCWqK+RPI;NfAx`56== zFJJCt-}&ec%OJh5G#>#d$W{*yzcBQTlyMDj7zwyhfOoNWxWUXt)ecVA z5n1kpuDKx<0PKR^<({NZoh&k+TddXE_95<5ZToRImak8BG0;vQEADo$M2XxRcDczn zKI;i0(F0OTq|a(vYJtRK3@#PVhDk^ybi1|vO1l#lr(oMWaGx)`(l5)&K$qYNihQ2? z7R>4U+$BjpqIW*Y1FP9Fo}_OnxHuzWKv!a6e>qa{X>ojcv!PU7DzEt~Z1q~DyMjY} zxJT^jguoTmybL2@e-_tvhUd?HWYaocj>^cVHA%;7A{v@i&bUVn>Uhw35P`}OI7R~mDA&a%I`k*|6> z1X)ICToO5KNg$M1x!LQ?d2aeNrd<$_M2B&nb!8)&^9%D0)1J{GMn}g~pfdOW_F(@P zS>`@Ud_-5N#rYysAZ= z%3@Y)!FLnrDuG1wOq`17#YS@pn({}q{v{4IC=FqTSA)f6RQ3l&mqA>~6TgsgeH9N! zEN<`vVxrH0QM76pIoQATH4m3^Alj(>FtLuP}H3AT~g-D@v+*% z3L10rVcmw@JA4+bc3Ko{jXI>ZMTfEdf}4oUpoo!>Vcf9@?7Kh7|1?RzrxgB({_KnrX%{eB!-jv4(9% zQeBni(YApV1#k#TEVS(27t8nm0fku*vrUN8DQyKjdRk+ZBhHo<<3Ah>G1%wW^Q%p@ z_Wc+gP@HyU`(fr%{6lX~!gBmhYD@?BB<>yXgTc)86mi`h`ZLPcFK7N!=s%m|>geIB zh;frx=2R|7c}R*QiG&8F%#;S$0aR%(cHMX^U9`{_SZ{l1R(KOJ|(fOJdm}m##vjDm^;FhpjX1B^i^`m~U2+|=+HXzh?URmaYmwk`!vkVmuf%=cR@=JUu_}UyR7oya0WbgCG_i( z8I30;C7G00XrC1}7i7_p4z`JGZZa8Eu$h`W5YOF=x)H4H;b@d!0jvLw4)tjy_3hw3 z*UU^IFBlNQOw^d`Q)T^88VQ2H`WV#!cQO5*PZjhVpLQqWOU1F=0oa4|FM6=UsmpcflR7b^upjv<|wiC zdY>#T%V`2L(RD3WJ^T&Mf^WeXeE>OwaHuvPC)a+x4t8{li5K|SK42S!xM%q4CT_|- zj6j9LlGKmWcpgeuxyE^Gbv7kZO({kD`m+N`msEM~|7Yv~R+G{rvo{M=GHP44E(#02 z?69g6yC=Ydmu2X`+MXjt)K=i*LBtHXj{ofLzsio}Qh^f=TW1w>uivZw&f%#->IAxw4oBa#wznrpW zdp#jkn>!Rk=Wtfo9e1irac$WJRI|ks<%M)XG%2c9EQI)cRD!;C*;^UcSODrwte zZ=+qLl)3tT{uJ!H0NO11w)o3cjn9>Jr+ zSD_m3zN9t*wU+LcELTt0{CIASw5rVyMOH=$6fCqO{HCHh-4p=tkaJekulsqPEr`;= z9hB(ngu`M!>=cJ^JO{lIDcL0YYi3r7(W|aE`aH=cFsp7 zphysXG7L~5uI-(jn-kSB?sq#PA7TsEG$JVWlkx-Is}aRRzE4P{2_24$ky1Lt^jfO* zbKtIvn7qZZSXx)NY!WH?Ym$WcTUgCq<^&d^+XuKRCJ@<~Cm7|Izr;{yE~*a9qZiPl zMbJ;srcqII9IGRGG)2=F3Kx`KqG>vCcu zyHH*gctU}a*M|Z(4@5zaf~LAH22qZ(z6}R4jlpe zaQzpTtXwBik#k)VBLY`-7{zL+DNO@E#58&Sb>QEr$Z6;p2Mx&nG&yPhcZ`3RKVG_}E1>f3?YeIG z;XQc0cLvw5&)$iCa07*JFKy0YauvLMQ-fF+*mI~!p$8jUJxKKYHCOL5iLTSRIB~7mRh?;vGk$M({9d4> zVC)R05a$b!_we1j0W~}RenSulUz<2vP%5#Dle--ORd*@ww?5i2q-(1+bBphrsL2NHJQD86#V zi>IknVQbv$PB6A*Hh%O?;b2Y=mG8>J+~BBTvX7(FV7&w5`0LbRYlR!KW!K*_$#a#vki&JQ3ac*m}oQs z3Y!eL2rpSPHLWu?s&|wr5_aIuvW$3aocTl5KaV3;)RSiV>dzty5TRRYK;e}1_0VFB zap4zBoldl664Xsn*1Qofsh*XUR0Thb3@?`Exuc913)7dAf?fHSPudgSZjH5-!g+lG z!e6a8-m0HjNe;haMh_Vxnjt<$a$ig8KM`leAV8d3Y+jBEv`H%5T_3wau>a2TQz0AI zHDP<^i;mXJm-~KyN%~(-S$T#nh@PQc%>OgqIo(Y)n=$R`(>q*x;h(n^a#$oYEs@e& zJ9XozjMZG;?cvJ6b%|RQDH|YRGI`-PrUf7jgqY=WVUTK2%V#+9T&L*rlE>>RlNLw- z(^s?OS3W?w9UW>U;U8+-T}myka3!{TXTK&Gh0(7{M!eI)vr;^!M*2qzx_6FI9OE7; zs@)l_|AINN%zYF_74=FU!p$vli}32v>@#x`VDXnEx~eQz>w*$^+kbAKRlB5(U)tf| zcY?r662RSkP3Km|_3mz5h)OqrK;Xs;QhvSL^9NuLIwOSA7M8m*i-vNxYx~ehkuUrZ z*FhlQ1EMa5x)cywYLV%};GKKfcMGD|yRtCPyP{i{u0v@hC-_86G}DC!LmR>*8;d9M zDFH)i2WI*s;Ge*5*+^h+pdjq>GrHLn0uz2x%X2inTfT-eWUR0w{5@+B!-Y%S$N10^lDhrQNWW`rlx*4lNq85%L9rur*>5cJ?Hr zzo?x#nU1l8!Y=3CX=5PKn@cgCByY1kkZ@jRp8d_yjjIo@h-hMUaLLPGQz=?ABS-OsmW=bln2#-au<=cCk|h|yYbhGdT% zWIWW7;1Jt3ok#q5%1P{9*H*NkYMBFhr87{Reia{;5%kQJn_^Hl2OSsLvjB%f4a}R6cBkFF&w}6Mt~-q&T;4x=2$! zxIG{U6&WKcGB^00<=0%Xo)ON-cJrhwZ^$z{F--yYKD8q(T`9fIdC59nrUYbXN}-Iz z&EMqTF2^~f7WL07GB;wCi36p-1X5~U59iF|KgS}sYkHF|*M#|I{06wM9shPY(dg=k z|8;UwN@C$QZEynG$%Vy>$=$dpS31D&9zw^NU zh+gr#yLmGYwHR3cTIr$a6z#WH(s6~MKJQLtc47NNJI|f=lp5?Rb_@YFQwx$w>DSzC za2W#YY|;$Mu^UcaJw;GTPI`(XY6G(Ja@3|~Ep;f(Wpr_bj6l!VhjYa`k%%ekD-oQn zy@4D-l_z>gg(CkSXYU=*)VA%7qHec}q6ZF=yslYnCy7 z<2UAizc*%W{E4>Q^1gTwlwvKN5}T|w{6KGy?b%Q|Dwo)=p6*COr)?{{+a;eMJubhY zN|@i`|3=<9zw@TnVb8VIhn8l9!vP;7HqU^n!wOuO$EsY7fz{O&{w*zD?G_Ms}H+L-kuQNm-H!B40v7aX;2wLsMM{UVK^h8t@&^ zVfKO1f>;SnmH3AW$-&_c{`DK_m?{WTSd9c>x$_mgr`LD`Xbd*($bc;bw&P^#4!wHI zTo@h~JCwuuSnc`p*vc@~m1Uh_TVJLcpg4Vul#LxwP6I&I+o5&b+@(2 zk1A#BS)3yfCxsqC(nxbrTyS1um&d5w5q<`YjI_OQd1$dtw~`r4n8pw69KJF`CTY9W zv@zmht+%Zt!{!<%)w_9NjWnNk4eb&^5tS_RDi@eG?&V9;QxR~M*QT;_|9Y_xwF?|pzoujlm~H#u znVnX%NY*wsj-&%rLJL3hA}`0?%`MVVIsa{>1y^!gl-5D4g3Nv$2ClpXy}gYi2BByDCNIKPf>T{&DTmj@BjG?!aYH*u z+e2wg;%ujdm5fBc3h{1^8Flx-;@bEO1g7t38qh`>Iv+=@-RfP-V|LjVNLE4KQ#F6$ z>li5-R1++?5+UOpd6(hLK4cc%E(`}C2-99Yb|8>HTrjT~wYKfkQ0q)d5iej98?59- zZiXjDgg&qFr-Ie5Wg9(bLVfyWuKpnQifde z)VcH82t)=qib_QZefmGEP;6iwK0OB|YWc{@x>7AtMe3j&jLxga)BohKq?!|}&oe?v z(5I(CBXe1s%d_B(_D?M9kq{aXS5nv1IV1-;5S$wrCxR|B-8#ws2`QAqI2EJa!n@hA zujE^$-+TV^diQ@C=HL*kE8c(l+a4bYJr5hm)6$%JdMV|6pP6zV7SI+GN0`&EF@Ee1 zpuU+}ovDL@_K(LwGLQbg{{J$qv~mkiDye2#m`nLEWpS4H$CEw5hnUN%$Tje^BUF=@ z>&w5Al(ze}$XTZy71-XFs4MEv8nz@H@HRmvtj~>B{BB|1j8V!BC*+7+(mwf%vaOT? z%*|bC;hny8Zm1~5H$^nf++u{7Pi6{BJ&eVu!+>qX$T*#e3`=Ljklq%haAGq23Z0fXB~k=TXna^sl+ynj&Ds; z2`8>Zi4|Nv)*2wEvR7dHB-%r1K#?i?hoQ`Tl!{+dW?Iv}-AozWYw zQ$Dt$R=*rLgFH+f*y{z=*@~l*Kn)<2)Yriy8HD+uM^=(r&>ee&gYRi%}|%?`I=Zv;x{7- zw?g6b4@mPBvP1_Mmb3(i`@;O7!iU4-GadVsJ3ZfB_7rG?T{Uz@v99X64N4@2(KsvS zdsI>JCr4S}mhnU2tlJ8G8Q!8$H^TNfcluZDgX%gh3dc~Oug~jMM@o;Muq0{-_IpGZXDk1zl;2nBmObl7&+D@=t!Zbk_-A7 zFz%p-WwCENMYNWtj%^x790;|~{?_KtIaQ(QTaOGq)X<&Ap;d!*E6(E7m4dLugekbo z)yLnAW))u6cHOT57$4ikls=@X9T`v5_B1_z54$gESh!`bxt3$<2K+Ym!oz>3OlK~H zNIJMRBv~@nhP68U6_?h25~x0Nekh!~GY1do)f=+#VtpAFtQefJvx@bG%2aR7XGTgn z1sTs((UyMB?hgzEb;OLYh9wb2>9?&+DQf zZI7ioce^3=1ji$Ys6)wT@`;qENYlc#q5wz$1-A%Ws{=KbS!J%hsg2z~Rcf&s_%aVs zk%zEM{0i3vQA_LE2#jCjNXd4@iLUa(r)PGQyphv8u#a_GWQW|3y(@DG?;R?V4Xwl= z$dWDTp{)msXOkFb?mnt`_+>)Uyp*v23y=5uE2akSskHd~^fExG;oF4~elMX}y%Lau zD7sTx%6^|S!|hhap4+79?|+%;2)5c2O=$QTcS^>^RkH?>cO#ILuxdo5RQ9Awr9aMo zbzS|wt5MA`OAafYnf`%UxOZ|L{KE%+glDwPCS>ZpgG?IXLWI)ksK@x2Y`vdynbWS; z(M|&P)-auzxQ`GQG?l*{J%jv#p@rRBct<#zFIW_VG+{l}Kb?!VY-$Pt`9)Xz~^JBW!qg6wO-g zPd9#iu$Pu|12)^GVJ>a5U4SeP{b=gO73T5sBAHN<^4T}vPQZFjK_cOsLqz*k6*bLav;q6EN zQ2ie>;=(v)%UF$fHaXXHuj4>u<&J2-+t@AicX#G}`~nLgJdjjF+`swwA8Y+@a;Jkv z1e{(yp=z1SNT@$X`8vvlC$POGye)_pebx($mYK3qeBjv3Ndda)chj1CVn8KhF6t`y z$YATfe&ZZ5@8iSfMxwuIP)V*KySdA3SJ6AXO zTFMEu-cN6U_z3$k2FfArleIsHHxKQ6bm zV-w+`BQHKxbEhK*&=IK%tZCU+e>Lo1pYo;$Lt8tMKI?P!=h3~&bhXcU$NVGCCtI%> z88dS@eDm&kz-*N-S`vtY(%?DK9<0t=JbFE?Y?Ziu&HB^@Wnp<8gg{-Xxz-w zOPP!zVU3R7Gffd_g?1nGwm}HsX<1R#)@Yu`APb)l@w>q@GGodMdnjT1HdXzm^Gs|k zD&*jVHtYY#?n88qlSdnE?+Jm81f17=8f*8rhw1c<5zf1^YV#fe#;Y&*Kj1=o6fk$S!zD^4FMIha&qM4}2MWRpo;zHFom}&X}ka18f2*`sqbD zl(1oE|Et3HjbV4bX_xn8{|F}W5ytt6U(**l(q#@cC~OD~8ROD*Ey#L99d^4ljm6V@ zs0I{tVJPJqeX$crU~r7x(ucjRQKY);~G&^F^ zhTb||{hxwQ4JLy>R{xY3uW0?oaz8m%fQXNOBWJjM=7aD<*k_I8)=PbD`!~iq25A7x zRo+1UcApvhsK3|Oo-_6*oCSc1X?oLsso83h`YO&!eii7b+=UocTy+{e93D0#iCBQ{ zyj?QPm&bCo^1>AB6bEhzzU@>0)x18#ahvG`hJ>1mKK5%LXd~l_W0C(l z+#8}BQpg=5En@8%#r(!nKgw z)M>Tqq;xiGx`fAlCAJH4cfss0zdLyQ7Y+__+g!Vpw|#0^ui+^Jkkm!&4jmKhPoO}ICT=a6)+DlO-6{mWNNjH8KaD+tCHe@yzK5-9R8q(oZHUl+XoYa(B!cv45e4&4Eu;f%e&Mn8n%) zourd=p|Ai*@I)6Bx3XrAvb8;{MzRtcz9@JVSfsI`-$Kd`-|9j?-xirSCwF>K z!Mxq>DPeGfJ8d+`SCh}o3aT-MNtTCwJ1XpQFAP;wo&0J%6W&#z0<7;i%;F}I%=!$A zZU@j6O1pL0G_PDe}AziUT=2}}%5}YVU zcZ5HkNYke5ETZwUqisoJi%9I<>=5-sPhsz)sH^H>SsGQLYmZ>;F1QZY5@Y$Z)eCt4E=b$h)f!`ZlYGCX)KCv*(UpQ@QJvjlPm@36xBuejAdyt$rD9hpLZx zc|GGSG^BcrQr%m8KLZPk!b_JEznZz&7XW3p{zdz^UOrmw`YK8)(z_5+=%UM>1vV+1uce%Z{ zD66N67gP-*Qz-iGGWd6M)!;P|+T0hTBKZK~XfU4**9hj=Ik%cAvF1v;>9Jl1g~BOD zh0sv>T%q{iyd>n33VKtjkGL9)bp;6p)nCf$DSAjE<%^dIA8phiK6*CWAo{7%u$%u} zcBK{hM`ZhhGqc5eTKDjBCj1a&IH ziE-m`6TWfri+^Z+`#G<=y6NBo&Ru@dV5FcZNixXf>1m*rPATH9UCgX~;!pLfeC3tn zvXxbG00mt#fki0ZeT(wxJL+;4k8SQuumFdp3ibKW8b!}Bet8z?Uq`oG3G|e)h__*% z02fs%iaQ%)e@Z~D;|guR%%b;$OEfY-f!iZ2@?nzkErTQSlWe@HMd3KZ?_p*T-3&p%y?vxD7#GrMk@%rU(L9NOf%M>UZW;cdLZ|(PX6}=NUo} zeb3A^mX(=Z z9R?ZBSlWE4?Yj$Ah>vc8alLZh;j$glfelQcgk}4iY%~k23+)3W!eu&)i1QhMIAXmj zsO}^E4ytZ-2qC~(fG=kg7?=&TG3-{l(5kGNc?=5ExStEe4II9LdSA}(uiiZ1ddaX% zs6+JG%zuAbGUgyAu=f~#XAg@`bD*e-7QMP}eH&ZT6Vbno2!eX<*aQ52i3)-M+7suL z5+r(frgha|NL6Ssf3w1K?{A^=qm+z9*j`Y~iXl|cX=)1Meys&3dLSz5*vkZ+)R!+5 zLUoZH)tw1`N7aTAmm*fctSmUW18oX`KbWh_HaKc2tXrW*EkPeq?+1{p;c#XVENi{A z4sa-|7GP#AO@Y*0X0K=cUzpByR$)ev-aNeULBp+;H4K(<)DGQ zy4{vpm@Y6_ln4xu`MLdDPJX4ma6=m6~vTdN7#v! zPRqTwNB8qB6DGT=Z{2wvF*DUO$=p=|7*WBk$5fJ1g#m@ zV8nOtU&;9QW#WV7o}J~*tjGbf2B7MEV&f25EDaM zj7kq)uIMn7#bJiMqCfQYgTFZ>G?Wb%{$;sp$jnCCg;%SWm^U>{G$>{Hd6@Ekj^9XK2 zvX1}lp&Bq?73$;>Lp)IdMSKB+r|gi2+h&<7vl zygZ5ouPuw8A-pwtG1XKH3K|(n@3f1r9bDvtk(Cf}aD8FXLhhd3a4|*1Hh~h^c-P&#I`BbrakgK&E~pi z?J!XAERq{00gl|`=cF#SBW|OC!H#sUpa9F}3C}zPo54=szAHr1OcEF=@kjC+*iEEz zWMoiuZ(~9;OBnMyF?%y$W70I24ZS%H9Z5=KsNclj^0Mu>`; z{l`5vx5ko~pC#*!dp?`|%9;!Y+h+{1F?k>U`VI|Ii-QQB+sgw%s4!>0LU-%KI8#@r zYz;Z1B2J)aVMKVB9D&(otdJ+gJh+ueNSnhDK|38{^%3{FnB`I*y}6jyowwyZ;q}0~ zj$pgHqj8z2dZ9jYYtKA&z=~Wx6xvDF1nX=}Pk?13tkGp$``K)Ya;a}lzQ!ogb;vEt`QliC(i>k0+2Y>fc2zU(f@g#RGHw+Jn)9Nmx01)g zpzSGb%W@h6=NowLeP222kF#Qd;YQjyg^`kCj!NCrV--_f2-!Uv3e3M_?0ENa z!D*qkAA7gU@;|r<@;G_x%3ktnZIV8h^f7SzteBr1_C^{Cw1v$YR( z9}*!a#P`ez9ZzKQ%iQeU_C9{=$140$^|0WX>b&##V0NcW>Tn_LhiRI8xp#6!oubf} zXcGLVE(@I0Rpm5cY->6$cV~F(N5z*Qk9R~#M}9tUR4klF+t;C|bxlf}LnYx)j((L0 z@5=5n%diI5bjmsXas5xR0_y39(@E0D*WR{wY&?&|zQsVS|$Hf5rvZzV^XjNx5k(%A) zfV_Ja%)rgKmlJ``S4#T~<_fJx3!!#+V!aHur>+a__31)()Ud5oo@C{q%_K3PKU+DU zD#DPldAM!j?H`WR49W9-F}tDCzC5_N2CEEl6AkE2QEB2b(cCSa2%&92T4VpshmLTv@y>HtNPyTwC+wpb)m$(k?f0&U!NA& z>pv^~U4OiW(P`>wmronMHd}SyIA*lDDQ^l5>X#x3%sxFVe5yD0sLsvCxH~-;c#~Lw zfu%~*I?YvEF@8A`ZNmJ<2oEZ&Q!-|kzh;L^X|LTp~m_%Z`uM>wp zPN=UK5C>UkN*F5ITk%w-AGQxXXJd<3`BY>uaaCsjXjT2&=yLoNwK^JDSgJ}ksxdLZ z*b9kMPPEhP#n11xkC01ASgXrecxx1pt)`tpeERQ7|LX*HH!Rw$oyf{$=)zIf2k;KU zS{VDq1gIVWezPC$8e1Lfgf{Np-ZNNa9~Wi()M=4t@0}7Ji%GIwAK`@uZ4aFbfSEvb z8a|bBca37pkA}*x*4Z{v0UMhOaSPLIP5s%|u}dA){1w$oOmX5(T*O(Jua;>5NhU*7 z1{&)8)Z*QasgmqV8f6b*aBl^$$}Wo+LJ%r1L8(HQ_rh8q1ANF3JJ**- z-ru;yu9nI%f{vCwLiO4xLFY6RU?(JQJZ+=fK%&*qa#hW3B(#j7cO=@9C?TMekU%!c zlzuna!VNX&jPK$|McrNAi&*VV8N0(9IpAmzSAF2>fP$Ew!A&}f%xs{kWSxV%J8m8O zdoqDba-NP^kNU3!$O_QnVnObkN!mH9Aa0&xakJ-nN?tjA7W2J}@lG(_O?8Y3zv^n} z0c{nIp4KRH>mUJW0n=Fod5+f0ToyQ*j0&xSn5VM0m@5CliWdDVHE8EN5 z(09|wyt24#&aA67@3$jJV7CP_pI+v-zOeSf#Nd29*0)(~Y-+akvnty|;4j~vU0Z5S z8VgAoljunMSU(0E8F7ZgR_EN2^dO1(7wAnpTYlorMD`MZ*4-4FB*d5sb+_cySIhXFf(DIJwhQLbr6#soVz3{M7Ks&o(I zZ)e;#oiec*Uh@J36WL)={N%?|+@pV^EzMPh`YG@p30JIxV!CAX@ZDK6WewUQQgV@x z9@OZLuYhcd^5u6uAGi}d>n(rDdb@-4j_yixnueJMy}B5UoYzSGbT~=Gpj3%$VrGcx zRqheRdyAc3UE?SZ<%`Dkg=xL*;{(vrHDiea-V82A=@^*5{lF6lZn9;2)(635swc`||D_R6L+{26Ld1IE5d zX~b_yxqxLbykj=5R%W1?x3{vJm&j1JH7O%$FAACGK^3!C?l!#Ca`w3tQP_$4*!Ydi zHv+tt9+%*(#1+e}$&G(`k3)@RS!QnVg*7J=i8ri~zaus*c*Z9I7GVGsoll49<$S`^ zRRv9DumGq4>BYtj`zNJVWhp8$cdv_M=)55EAec@xG+?Z~hjv|d;uxK4~QCcNbRT)(aHwIu8n*u#+FSYFOg z8hmQR>Y6Zn-@*WpjnKjj3MZ;+J?kxKF0-mGWZW^&nKl>p=|80ZV}!C_{1Ouos!)Mg zEPNrx!A&k@%&^ej)|D7lKZdy2m-J;-vQCw1&Wel6zIH}Nh^^e!=NZ`_kC~&9-ootS z;?NQisfFpDm;p@IYG~!iH3oV%*bD~-?~6+E^l`ptx2Cu|Q4~88WJo6=8ZboHk(qV( zcX2ap!uW;iK%`@CaM2Y%>=zm7bl5Nuf|kmyz}G#=H-+Y%Xaf)7W=;j3CxZ7;4m0wLk_00NF;% zn%(~i-)aW0K-MLp;a&hWqMt51c8|vf)6<`?nPd|n#7jb`6J1s<6V{y3qB3I`3Q`i$ zdjXr>S9MrTR1K&zE^9B!o=GwUUA*d6VV+F{mb?!SIiMSuB^XXl?kr`6r32rGz?L^8}-G84lOq$p6Z6eFoOW?yubc#bQ} z1KA$$5gi5w0(N%5Qe4RtpyUpAj57VfTv4P@W|dSF|cyF=m@U4q!=F@8uB8=jcdDIRf#Dknmj zh&(s|pw*IRV!wO)j0d~qi|mX6qLCy8w9FnSPEl#L~77{n6Pb>sDY% z;f2a4DbvpWy8u4WZuFayvEA4^L%n&U0wwPWC^Tmg+K{@uY3>B}E~zt8dtJ zac1Lm`ag~d2ZzDx`J72bS37>-pB$1;=CXJW8UYUspQIAJHVuiHiPZ_alFanl$SraG zea78z?A;JHYX08XsXT^PcT|45D+&ZBCpC#Si}BfcqU8X0HXcx9zcUy8nn<4dFM8_a zugv|t&jpla^qN)5GIo_rm*Axh={codg;Cgr7S@pf@MOnPAP0^-Rei?F>$~fa7`FPO zDNP{FPVlwNiNVT=Fd z7?%EFnOVOzklID)>mZc5Uu5LD22M$ic&=DH_^j($+1!qj3Oyok!Qq{mwG1CpmmWis zwOCg(7UAhvlDyKPe)K*&sjLSIFeoiX;aav4MVA%lzh{>IUSs3lq4_zz+5bJ=I?PDl zCCy!$i7s{qCw1UsGJRVx?sr3rYDwATGphHP$ttMNMKWG8Rz!tVWasV$r*UsrSVIGY#ZUDp^#oQ3O9crH#$ z4S;N%3%o5nA<(K~BK_gsq7TW@!oFNs3N#IN$Ko>+iSK4&(v&?LMum&}d4u#^sW0GH zs*sXZ#Y!xEe$wQibdYX;2LtV^*-L{j`&rla1t$~dFZ4Km zT%`=!+_FDQdT1^E-5-PP`_iftw@0LPw_brG(|=-S<$)v*pkp%L^xkj&vzHQZDG1Gk zYzkb5)`g-f&>euz;ZT6WGsF)0#3sNi>w`J{HbQ-Qt;lJk6wr4kku;MiP)lR?KGauq z>vQg+tb1VpQJQ&ffUJKd%Zg|t3>Y5eN;;<{k@&%g4u;tAQG~MKp^tsWvziBO49RZN zleDEPP9$7LeSS%$mLBWY#8h$U9dpjup46lru=z!v3J2R8Rt|40adf)LNaa`&A#_mI z(C@QK@EjZRSae$wVE%=3e14oHI}$Q@bWt=1I_9VET@==*xhJ}zhi@orneC{5x1p2} zTSV*gG@jP2=I^s#Ml<@v=3>JtX{%w=*xI@|V9ol@gVtR68n(ALmfs!oePDtLFjS|> zfd&e#1RO^b@b4TE=ZUdh;a#)Ly2_Q70MDYFw{7o@j(%+_A(W?N`PH{(=ok(6-Fzw( zz&5gzljV-80+DgGLwU8oMqD!W$aqyz5g)F6WKmRVZU)I-6(^y@g0aXtL>H`6m!>8H zMps(8ErWb$RgF0r&zPquY&t)=AWl>UXN{2M(QhKzPlG?(1*Lj>>~KYWgg;c%MK`Me z45XSJbw$HJxvzjc83Ic>Wo4!77S=hk{n>XJcXP(-d0{a7VPqkqkMq>E{`9FPa?t8^ z|5+z&`F6F}6WTFzN=Flg>yWXFp*5;xYgF%BefsAq=kN1xJQ{-s9m*RhU=xiOEJ4 ziFF24_S=%F7Duly;qwRyea1Y8niwOX^wnb}_Q`hlPY#w$s4C_?gKyu9-UBfTDvczs zn1Wz-+RrDd1P+O1>(ydj6M!c~yn9k%0spTfQwxjY=*SV5$*lk_D`lhz!%lx zg*SIQZ7AzD*Y#Jf2k?R}Pd@b%-2&UaLmscX^s340TKdBYvWIL@LY%dxu)Q3ahLBY| zFZ(xbS1jI^nk|ymAGqdDS>AG-oJP;~tA@~4?fF$ZDE4qkTk+@*K`aE`<%YtYQgnT&e?^9GgW-01PVFY& zbvnCuJsAZ(y1Mr|YHIrqsbmk6lkvzn_%n{jZXmmy;(Dzv{jiFjKnGxT5->&0Vwz$d zpKWzU$&noZ$-`D}=^V9G{(=!U`zszl8;vASLs|d|@7OM-t%MR*U3b5=*&bKnL4c>G zN2ZXm-uPT21_|m{<%y1|_kwLLe$80BT$I}{xcGhzz7yH?U>V@c(2M&jYPPkgb|XOqti3qMmPV(BzA@?>vU`tvUj^GR7|{co!S%3+8u%uAt7yKtkY;N) zuc$ws-J-eX$;(P`aPGES8BL~N>C(hY@9<^5+;K+0`sjDoeoy6WZzN`GDv&o0-f0#M zt_-C=F?>;;-Q-h9Ou>}BiM1WoYmH#AjU~ZwQfHx$>(PTM0ee#qSxohGa6U#&ARx-M z->4&5EOjURMp!I5{a0ykM9iC=+s>7 zgGX}MJCF)faMr=Zo8cRdwFxBBtH-QdY1KmTB;-wy(jRWD?Su{-iJex}mOpSpT>7v&>V>sHDNXej5WdA>2?e2vL)o?_Ow_;bF{mH^kB>#=6_CGmB%?HYVXycA0 zK4Wf_x%pyp`joheInF_z9&nkc$_K8s>(4(qxzU@F@*P>^CpAEs6io>mMCGv&CY_(QXj`cM*SUYE-=YdRBs_x>CgX0{w0okpR0~DitqDxUfwL>g}9jBhW72RBhsCydw6j?A0pBv{*g8WF-a(;U$ ztT-^WP}n^e9U`mFzb_Q|>EqLV!eO|yrC z74KaUQm#HPXO4V~E5y{hGI^=8u>`Si;|T~yVC+oT|G3S6UvOG?xG(Nd*3YJ~r4>PR zFL1P`O&U>uMO?V@#O|Ey(vMQ&N##R}I`eB_WMxt3+2Fsg`@cz_Y9=>ju1d-CN0o^0 zb1wxBpgLuUkxgUjOJdkzogwowvUv(m1|#GUcXKK5<>(9&Qgc~HR5WZ?AV_}b7Z_?` zaY;}RmFv`n(fRr%)FpZaNo{Ave>FB}M=i317NP56iDZws1UqrCow$kNKuYLUI1$8c=71a7ku8rNfkWJwXt2L@Zk*{7}}JhAq5U5S%2 zi+SUcwL_A#9fjyO2R|7pNqaH2axTAE9YG`&ABGOxx9qqe&^}q{ZZ|p!;D#!sEpD9& z8yQZ@^Hj?3g6PyU3}zrp$2`WF4CSzgdE%`?HM^#5p zpn7yEJ75+fAW)6Mt;z91JD{;cmlfG$?rn~WYaFZHi9c9 z!*53f@9*|r3Dj7a1cn%5Cl?_mY}_S%Zjq;GYz~gPHHk+lcJTBLfAj4t=}Qf%sacBn z+dQ6~X?Uv8l5ofD?XL#PqM&QT*V&v238=>kRj>|Uc`=VVPk7@Zsa$2`YsGl^4z4?e zHQ_lm9Ueo6B=QhRA4Z)+j&`>z*zo*V(H+siFfnB1(T7y344d(*K4 znmqA1f3^oE?xxAF)$*pF3;fBHW__8tmr*}A4vWNKBGrh~UFavzI5@5vr@yHgm1P(a z=Qaekb{tAJWL&z_gAmAa%CgrBUN!5Lr?ER9=z0}DRM$@kaE0bMn#sGRH_vWBqO@w5 zO2%*kGa}+D&gD-I$gCC-FFA1xGFE&{E@Kg`Y`X+OrPftjt$aRb72#$I4MujiB!Vsl z@cMygyMM(v;3(m0=_*gE_mF|+Ms2&GcwRH}NeweqyD#?XN{zNb^ClM7sFU_`l>1w` zD6rKc8Dlw8s|B1jwa?94mRo2r^|U22ettd3|7t+Ze>XnjT-X_Gf87t+Q2AF^T~d+H zcR0jz^2eMtkT4tba5$_Z3;lmY<&=QSCaWa)qChMjYJSfTMAat>PtR7T;}Ft<7?D=$&S%oqo=V&SbVg6WM{B+jU1uUH1YDdcj_dKjHU`^!iw?FIxm|QmtC-`|T=gBN3V120 zkrKTE+3bYn?D`U37CDzU4%Eb!ep(poyvEiEs%jNnrq%kxxwaD8_peeq+66qwxemH{ z7O2-7{&0O{nkl4p=ogDm|7MN_Q$OZNp%SVS$Z!t}7EP#Luhb|f{idg=TDS8Xs@+6s z!@O*OabS22ZD`BQ>)#0ayRX>GK?FC`O^J+*+@j@8}|96_?VCc=b1_J(LZ&h#iH!}agF&Q6}b^Zw%v zw}?)Mypbfchix&niQi&l-)=1pOdiD~{$O+YC?bVmILo`fNAw2xvuHze7%vUeQk zY>kYwvn{h@$cb^ykPV0t_elqUD{!FTTzwK#4y2SsohVzC(})oNt-(t17C|Z-;nT_^ zv?re=>I_Q^e3*YOEeb~pS=O3Yex!(?&l_ic&X$ojr8QnEa<#G**s?w;i{4xg+pCk54|mmngs z{+*=oyM2UH`PQ_1`cOTg4TGfc5s@ylQO^m){@+b(-T4bN#mh<#i{U3f&6j;0TWwjK znSVEC*8TKPjyr6C&tGKzaiIP&Cn~VmmPOcQ${B^7b&)^eZLWQ_iu5w+&#)JDiSA`k zRYUF^{OEl4kCpx}xyuTgVhL+Ol2kzF`aKEZG)ev4LByUX#*`|<2L>M(U=ca(P%Vhl zAG>fw`^R#{wq{fh!W`(*s!((Ew&edJ^xqdNY3?UT43AkeT7lSI|x6Ph<3-+Xeb5LH41)9stNYI_4O`{Re}BzGxL>GYmu zV&%Tz`WdcBh6R`Q)%NgO=7QksDO_$bd|#A;m~q^pyrjB|tW<`qzD_7+6G}?>e_-ok z{a#UtF{_cTdI1)r3${0i<1=zdnY;RYCkEF@ml? zmy$u_IelHRmDS?{v_1>YyVn*`lC7&Pboc>@X^2z9sdzXch zDzZd&WyAwo3hWrj$>8KfC%bq|zDpT`e<6xDG_2kBVO+g?GyUSP99)VaL}6oUXs$z` zL`syN*iLxtC%P#dAu2HOHS;yVReU3-|!$i zY9+Nc@z&av7L5-xcDmPg0}J^<^rOTQ0rFxiTDQo^-{QF?oBJ8Ju#nllCvQzdoR90M zP`q%Q{A$5X5?h7@ozxO0jeH9F#85>P!LOXO*`!IxRieI?Ni(D|uK?wDm~7u`k(3y_ z!r(t#^odzS$$gZ`%&vl&ep<$Tv#l(!68@3pu@*XO3pH1Y->>CbJWOd80Jeu#s9cPm zA|`0Z#!yDdJP+i9;Kb#`_M`f5&Gw&-9F^U@ukF&5vMSj@H?3z)(}7zGZ!^4cZUXe* z^}Y!<^>yCo$=b1)x#UsPT3ISqMRhI>4qdOa-EQ>Lz^czy@~-G=!_4aVPbjzS8h$r! zuXbo;Ks=KMb-Rx2Urp1kco3}i;OuwJ|%6wCO2;8a(3k!T-r&qD0&JrgR zJT>sIbwRbV;K`}LU^xHzx{&?1ySvd$6;+;t-?rgMzg%|MYED1Ta@imr*TuwfHy*zO z;jSpYkIzeWULVs@k1oj6Ks-AdqAnKLK4AC^Ha}3dtJjO8YhIa<)uLDo41;VR&?d#o z^?On&T4#+vd|`AtI>@JkEdiYAKAVTcPmWvyqBC?tlP=Xl=*>Vv=qR0p zBB2w&GJ`@87{GvZ2qh#TK}vv773l~FBp_XoUPABUd}rplbDz1-z4!gzd%ti0an3#` zIct}*&pzv{z1HtX9XOxy#QCj2+EIS%^vzl&3p{FegwHMI$*JqQvEP#Kd|(u_2~Z|# zjFdQ6hpiDqL@0Kvu&9D0<1^Wg==lQmbD@-?3}kKP$9%;__Xd=dxhcLkBC!--I0y7` zVS~I}EXt0Fisxk-g2B#LVpm?tGpy(6epiPrL<@7U5g4pXt^k4_i>|XuuS$*ze)bRv zZis5DkDB&X$<_J5bq?3}6~9;9w4&9)KWV1%@LwN|zm6{{bh|CA(0q+kUfj;yC2T`M zh&6TLx+D6jn)N51{GNxKAW3(uCc5QsOv1; zh|6KhPxVfo)piG^USxX>?R@8?Qubek{7?3$?m&CP@(C)KY&lurnaSf}UaR8YcW>9d6Qk54R>);ZCvCEc*Oq6e0)gxNPR2o4B^yC@Ng z9lEmoQXqe5Z*+HEx{?v(*Ma|bFgI)U?y|~NgTNIzbOOcK#GzEX!yGKky+4YbBarZ5 zP@`tW2FB~iD}94Mmzd^V;3{MiSQAogZ~l#~n0qGIdZDxVfYjwtW5%!{rqAke%EbyF z&E}Q>IP?Wj5d4B~`6(c1N7dP1i8D!8>6ZFaMoYz8Cm#@oM?Q#PrOjBfw&-1<+S1NDpwl@!NVrPws6k)VXu zboVB>F!M}$VnRq-z$YYF-Kf%>8^Gy=cXZr-M1m#PhWb`0uG5wVqt*5|ZSZ^XN0%!4 z?EFvF)}U(g7zbgMq?GCM(z0i<0YSZ+bn>pLlG249tscSYoGstc*oZ|S%CojtPue+X zPcbCon~m*?t=V2#`gdA;*1LfK&luXmg%(CqMF6A~3&e0ib z&uo3pGw90AWxaziXxdBTnSQoOQt*A9prg(;RXA;bSN*W3*m0zb6Y7uRPnr}MG0{3f z{a`O%i%7*30^r3jU+A4oSTTBNN%B-u@pP}{j))xSG@MT2zDnl^R+b%rG}-L=0Bn4I zpLh2q{nC;vxr}U0PNbTPF#DMr1sXe7+Xda<#d&d&@KQd9;QCX$`DDd`X2XLFIYhqb zqpS;|jKsU$YC+=h!C$lNAIMsJ=Y8!Rb^vpAt{}wH7tg>BF9whd>7TC!8WJStUR`zkJl4Y#n!A^_M${ z?5nr@`#uh1-3YE@@sV45V5jBdB=WZ;?=7wk!Meop;vw<-(%ME30ROl-_-|(}_!9H^Yh)1AX?OBz{D~-Zh}Gq4}bzYGwwu0=9_}a?)*p zJdn7{CNh$eLUS2|7LO)uEhJxG;*;+-X&RO|2ubJ^N*6bytjfPSvE)xhHo_I&+DH^5GF*)d@(|?fkZwK)n zxu!L?tc;Z|U+2_~;geoyhNmhBU_n5&_Dm!6F1ZxqhP`13azp1fpXYt0-C$Z3@Pz+Y zlKydyJ7A+Nens&udT*Fyy&cp3&8R6Q09U9V7SCWI$hYxWd6 zmFZetc-&}Ww{Y>g;wD$VSej0L5u>-{TAN!=48>#!;=nKRZ>-AZhVooXfF zH@CoFE^rU#F0SyD1T(fw8xQ?i38G6sV@4Bn)O2GUKfi(b!U0rm7|hN+9ROWXknHJw zfa($#!Xw+=Ts(@11*E9LnHMf$gO1J0#S(<(^8rJiQw!YDxPjHc%p;rS zQl^SZ;*AXZ6ZTS(kx>n7Dnw#-zC&r!wHyb!4J9U$0k;D@szj=Pag#udX~BJqitA*P zN?l;)R`??GUZ(CqZcv_!EM2=&6dT3L(P4W8EGK5J=6P|4i(o*KaGv?pw+fte$2UdB zR~Fj2a%M-4ZguhGNmg7VlgLAmW(N~fx^F2!@34k+J(GDRGP9tOZPUh0l;wvuwIMzv z=f~gLbB(#t8VU^-D=c#_KaNzL?o;%-BUFH_JQVL-Q+j+lVaPLwW+tRi8k-uM!6gP# zGm4?_@ju*t3f#2*%GfR;z+=;2z4{cHkn}=Fn_(lK+4L0|$}D^_<+#n$r>^c7vgIj3 z9=!QB8--! z1-g|NU3O_x{Zdd#Q6WHu{^yDAaW_vY2?^gqRv!`DA{&!7B_?L;@eq%0r~pX&u@95}f1O{e?I;Ga~P zvGY($MlmKTlz!c4ul}NsHn(-w4OutbYJ0iFyHvhV|0ss-R1|ghWQA%TH^yXSx*j=P zA@Q5_BwC?F?t7_gv9R2_on5dDx5iO%;kH)AOUwQt))g*496!0tM_kl7hO`|E4}_ul z@!+6xfRXmEbZkSb)l_rH3(-aE4lVi;KM1CwUDXB*i|69v?F8zQb3;|Y`{(vjBC58gqXylu_*3UtcYyQAWK4tw%43fD@vX#K8Vz+W7uIF7?EC}_#8RW&{6 z1k5I-&Bv11#Sb-Ca^XZBn$Vu3Up8)hs=+|T9dfG%VIOB2buQGqDu>(rWd0t| zpwDW_b*B$}Jm%toh&zN0+X5~hvm zCl$Z-^mu^Z0ucHxH4`K5Tn`#2<~b%Bx?8z8ra4nE$&1WmwP*T81N(^PmR<*n(c(v( zKjY>Zdt}7sxtu_|`apxnqw}KiokySx6zl*2Pvu(rO(Gjx<}7XdH9ve2C6v-ZqI#Oe z12~_E$Ztk*H98`}r{$M@taCo@+FXHE>=zp_ z0qc}3%s}qpx(dc(?tiw&g&1%;1w4*w?_lY5;(3KW7uZ5AN!#5;weKjC7B>-NP1D<6 zTum3hcSv=&S(@!S6HUICb*xk8CHdSx4Tn`=PWZ~k2>OvH^h=d(SY+HnFF;keuK3dl z>oWP+Oui>jFuqHwfP|R5mGS|x36~VqDYdppz5IQdTwuLz60*HG_gH6e=Bea6V04{u zXYGs+$ds(0On)&X4->m=s41LTfZM{c4lT*(@c?>_kK=ZTbM5Wl*=W&+3;;Zu-C0Nn zFh4c}))Ym;hKrK&ib-(i%DGp?1u$ZwxWQzg8|5zJdrEaU?#@9Ez?o-umyPWPD&OjX zHFybBt7mdZvv=cE=P2Vx=r^&Pfj(8%19mSeMLli0QkOlIVadv!ytti;BswfhhvDn>&Ai0tR z;sKoGpqNB$kFH$9MO~`9Z#AMHs-ZI?$u#pZ`y>IlMa5*ubwRo2x*iiVf6*U+vY5t& z1*s=15yK-o@N+m!OBYYCBPRHf6$OCim2!*j`N``clNMW^+ppxQ?2(iiQhhk%V0!QT zwJFpSFL=k%z>M6w;%{udl>$0;G=JLy@CB&7b6L~eq2CoLRzU_xo#{dBx<%~2WrCBiL_a!??O4|py zmM~=~wBxX>Zh4N%*?za^n1R9DYAc+Ki4P;b^4bTfIAq8c$MV%!y{mf8^+vLFcBL9~ zz{)HMPcXS9u9FG4Dr}C!?~eLU#dDsh_KfWJSKsm<^fW2um5I}^Q1?GPR|)r%%=gN2R<+s+3_j7L$93cE4BSTS)$+{gw>?#_&pYP6NHb-~ z3WLK2CJQHatUie+gNHHB)uifb^ACCkoO7uy4n6+{EkV-GOeV8n9P9HBp;EnxE%<# z{c-%zq_bMAjenzpUZpcZyh6#CgcnhHJq=j?h6RuPcA z9?A)BDAM|VGBPfJ;0a0d)&cQ)B;XC|1O#;EB6z7bu6$;IbN9YW~DFu;_R7H&T3YVc&q5-FU433H=6ErgFfC z`yDKig>z?Xx*WPV=J+CEjSDFcK?kddAG@omo5a8h8IBUO7{jV|^24@YQM>-C6Kv z?g`w~BJrl7^v7}zl#>A$3R9C2H?Z)cuD*d9b!YN{zepoT>7v?VCE~FsI zoV#{RbTKJN`0SZ~7yefURTHDqx3W`^K`NYIp-CC4zD$LzUYSSLWx5OG4#kM`8YI=@ zoZ&6Xbu9FEaKQ(AB~PIyI2i6%dcNah0|KX}-66A+9#7`R?1N|LOYw z{Rs}Kt{xpbC8e6cD|b5dU&JKK22D$FR(qX+RC(W!G`|Mmg;CLkUG6ba-6k_qd(Ss|f5b(ZPiJpU@5V^pJ7D$vf(8Jy|@Giz2e zcr)#wPM*c4XdbU(NYdvo=s>2lFzP@&>@xK@KKmO(TD+;1%had!l3GgM}l)yM!dKda)mi&hca4V2Tnps3g z^jsIffgx(8Tj?^buQJw3tHTZvX+oNV;PhdZ(ad-J(H}RTjg3S9rGz@wJwb3%Z>uA( zVc-bWaA>_H>0}_4MH{S-j+CFEPqsUK3*UI=$@at7Izi*IK_PwcySKUkt@z}m&G%Ee zC6Uv^d?GE~CwESvzl$$Tc`+qCUiX#kP5OekG%V!RpJi;TY7(5|u^(Ic6-bfM?-J^G z`VR`9KKP^6;mUAsQWUOLP`)l>;PO(;Nv$Aq)X!8z`$?GY8*TkoFxYW2Vm~b}&clbk zq0HTaCy}-wnllt~cyXGRz0}n@1CKr{Eh#v>sJc@d$H@@6Sz9<*d{*YR&tV7M_+gkK z`}gKWObd170F!@|Qs}qQ3exc%Jv7u^McZ8(>M^#VUjgVnB;84(q`L^~S#=6%824`VyO9xDlTbAx4 zHwVSr&bA5AFqOpKW#>~2WK?Et#>CVWt-V~EtlwNJ$1uqu6)U9#JQD3lW)&^X7N&z6 zHb0*Gk)NpXZO}Mo$M(8sl+UV*&RW5fz5v8&%8ukh28fTm)g_(q{%$dV7nlv*PK&SB zIGFR(Xa9qz)#a=9a@$Nmn|nUO=TVu+hwVPJf~|dSphmKeJyOa#i%&}eCRZPHxrY0y`!i7{1;;+zp(d%0P0+pu$QfLi=;g(fh) z;vIrkua$9cQLw6eTneeJcP3m~^=(S$y*=3)m#JH}c@y>Kka%0QOW~mD=y$e(V(Itf z4Iq&3_^VfX0vNts8*KEZylu2E7joGn`b?Xtn;Cl?T^>LI_;rH4)wTS0wr$J1wTTM; zpIuoZw2yDTvE@DmivEVN+EI=}D#sd%!)39VkiIlWzY_}epO(Z4NZnG|Ok~u};~+SK z{c_FA>+qRZSKggC#E5$xU_6o3RI?Y{X|UfIyG9W0>NDf%9<9bVcxTk&AA25nts51} zA62j3CzUf9%Y||3P+o?OG|BaJ!JMXvZw2!c0+P@NhwKT*jNNGEr?oX)fvbg>f6ywB zUpbjHkRSxQqIbpiYgIaNmOO`hAe#+T^pB(v)}J93&}sg$R{Xs?38v!*XW9NLQv=c+ zmdxsdD!`!wd$Y6k(#8tEvjwdOyrTFwI4i)qY{ZE}rwum}w6z;nd5oXt8U#f2m%CBW z_q+*llHO;f1dx7f$JKO-5AUJl9v2LRNW*)OHL%7C)h@McuU?!uoiVxvAO;6Xg^)5%=IXd@1 z0UZ7~@@e=l@IIc1eE*0r|Ep=W{e!SxQeH`!C@HEliVpeeg#e-`LpC+tb%6GOKxQQV zt>atAJC0fC@cT>QtL!K-|UJ+l^qnE924w`7k9im{mhA@>?3>5iKg; zGPo%nyo!s(WMoN*o6RhGv!Qr$n-Aof=@%R`cHrh5z*;?`I?#-(?<9+fR0-)Y5K#0N}IL*nd4#xmkSaPSb@if8GbSEab|U-c+k4p^C5Q zP}(PabrA8V&?RF=i@EU?m^W-&VeI3WN{m3^_Q_}KfjCnDT)Ry6+F)HOUZ+U3Y4gL| zWyd=h>V;yra&^V%UU@&c`>kUnM?I?l#oY-tmSICwXIC4R6qR*i6Mo#Ye6(=&Y6mK! z6t9vBe$!{+5`zYiNX3>EBzf>+^8VdZ73>oxP87R+Ph?6g`)1d=v^lMvnV~E!^J(Pn zKdSrBEk}KyPeb)5&XyQ>Gz_|JxINW6Z3*az%0k$&oUF@q?o@n~U1546FEVBS+5ZBI z_n#&GYwjm2_K&~N3clRaZ(=!DY6)ToHc)%+%;0?zy>q=iOu+iVbilsX1kbh7JjU&K zm0V2aVZ-w<4K~ZWfTS~ZN`Xo!WP@+ir-fhVva0JggOB*)QrrZ%!$4zX1hsa~R3=w>qwO=>fsUeEH?HkP1AF zyg^R+$5in58ov%Gz{M|n;ZgnK0>n2HapeGfLEh(VD{H>$jL%cp%-e%}vM~^$BO)ll z6C*n93&mBc==*oZ%SMY_{(5H~H$dZNpv=xh{d#=spJEq_3IVdQ?aR4Iw=EX<8dGfe zogZg{12W(b^0g#B)!YW$xmrmN&)_PSh)P~F=sLFy6R5*mYf$;lazB5*|9u7CUHw5{ zR=*@tgj5WBPxLaM9iuAN1Uw&*?{hbxgqc08Mf}dT%*r*X6TH+T|HR}9u)w`JW4PRf zDlYRpNyV;cnQ2+_GI<{}no8>A#Bp5bdd|L(4p=}+sHPEU=}Bitj&S9sBjOM9o{5C= z8c%s-OkkzpTm<9V-YfFwUV&)K43%Uz;?0w(@78h!u z88dLfVS&Fewm;R^&~^(h0IE*-YJ^G%jvw2KMS#^^&{X)!E}cY$2Rnn#Cwf;>AT5Ii z_n>+5pt>kCs1W8dcXfdb2p+{Py_h?l0-r5>W2~>eBuZKe2$`X{xgq&!l|UOsMGJ?= zxwQ0S&Bfnh3)2TPl|?T4KGmp!Ltt8Vb?g@jMfx}Tsbl!sSrfOVMLw*TI7(9*+W>UZ>*}hIB3p9bIUXp*+6bI$_F>ydC9uGF$z1_cyW)T0 zpu}u1t45CV(Xj1}fh}ve+;^`S(34wAKm9rGE@uGDxk_Veecbe@bCjLoKv|+-;<%2C z-F%gGL{IIvJ--9yQ#MC`q1j~Pg~N!c$=2o9w>0o}E3z{vGjL=k*y)v5e(sA&f|nl- z)($_x=#yC!52|T0FRqDupzOXxQ$P6NUGpg~%E0zG*kP4}WAqYISvHa!(U|j_JYNL9 z%6jJ)Lo+*$l_F0mc%1jSH_g7d7}y%jfu^DT?VnG^pSk#*ezj-*XNdN-q-T2I8235^-)BjV;cZs*}EyXd}>iK z*@p&iHOrm$TxYN{OA~G~%<6cH9O_hPDe*j+p$GfVc76mZ^#gY=8WZTA5vJzp9jN1( zm+y-UURUO3>CLohxM&Ew9?*%jy6{O5OD$ z=QRL;nd1(d*uQp+hW82?Hq)Q#GP)z~P9Ue|Y|bUx@>-z6rB?a2BvqYlp|%yn4i$B* z1QN+swp}$a4vnBN`bl!>QM~TUF^bkZtpV$I(}aJ?+Ybo|9zbe#K~$5iyH5 z9#={rQJuLKQIl)OTMKB;iDr@p5|w92F8MJ1NaYF(0Ko*q_fqM$9r26n`75dBj1|XI z1ZNekz}QrM9RqFw%&f9i)st1nUy_qx-A{pKvP;%TOn7X+zfxx_W?7!)pj#pr)!wq@ zAf;A1-ILQ}G4Z8e#ds+!qi$JVzNheujsWJPFtm_7TV?X*`fs7D)XLlk(0liU@{@*D zBHi8dNV%CxT|#iDF2|!-LF5dmD8Od*q&Xq#39U=rs5CaD!@q!-bXCIw%Dj7Q}v4{J_|hP^pt(HVtB9m>bx~PP!g4~+|?n?+KrjAPjEJh zhJ4Vm&e{5w@e$oDRG-mS3e4B46_W_Yg&&Uae)46d=X6GtLS8D^jy?FK-VHIX&G@j# z>o@^Pv3Pxmp-v;HJkhS>_9mE=hXlfljPxt(I-H?z7|8I`4>@*O+6{Dt@OQ&C(a@zg z)AWH>qZb>qv#MvK7ZOP*>OO4Jy_}VR*E&jBve8qcGhzvbt9`78|m`a;~c^J71~+G5wlN2Hy;5#$k;v1NMZu2 zI$uk7NyV2A`sg$&E0b5;Ha4;jWVm9Owkc_S`I;Y$!}V<8g3ZK5ROS;1*ecM-(r?uN ze2KM~n`zF`bmzHS3%0X!{^(7+dZhC^Y`aMV9GYuwi5F#p5HXY#c<|QjpvaeiVf=)? zL55OgPcC_Zmo%i@)`WCSAmws|?DHS&Cp|c^Ps8L59PY$$@4D`IDTwVi1}IL_gFkG2 zPqtqY8u0FP3{uE;QAWw90|T3pO{JO4eB@1TwBcpJ`@!npQ^T8l)!I*vPB#R8AmP_bq9=2~cER6Iyy5vuv? zH@Urx%kDL!#mEMWG49bBYmkB*G`xhA_TaeLcl|NG%uS04gxH}Z!Am2ay`0k3OXnZp zs+xv(C|e(o*RUO`V3VIOr|g$Z8TkbGzOC&`O_BMXtzVVPcu^2;j#ByPn4t0EWb2`* zXZ8hQTh$_518Go{*)fOhy}YqC>#u5p8drL}M3Q&d>WWF%>u;@X&3ab%RD}F!)DNP) z6n%f6?qRX9wpuS7U7w1uf_rN1dmWG_eDVuoXwn31&nUgEHJ5&;F7P6UTD7nm+W!2- zhDyna`-|efh>N63*nK9vRY`l=_zCE^W^V~gXjR9OTAo_b5q}uPdu7J36BMB$0yx{E$g=rEv%fzzi`qz;$ex&5!rM zm#dara7k+XItHU)AJ=m{>CL~h1>TVZ)gWhCu?#v-(owD{GeE^f&@lYTU4@mXO4gMT z6Zb*_2ZUARHnDXoGpX4Sc^;Q@Pq7wrDuI!>McSdtTpPZ+qRDidQB^Do?!jX zRy+2T8=}J9z`kPj71mwVIAtNwQ(SZT;p+GMB{o=q1=Bw6Qh7Q+=~%@kKcskowKKEZ zR_(vmI4)w+-u5`obGZvGfvep2>Ae|5Yz&Q`_sYJYP~%M)`5FM{7;025;G? z>|jvaf(N^%3%di>3zlB~s#3*}RZNR`?|j>S(3-3Gt4EhAbPM_f_$M+(qFC^hK4=}? z5L0^z{)bu2OXc}kRV*oDIQ;OT)0yaTS!%>0y+=?BRsFD;a&=98bd_65@pm@U*qkRy zSH7H}d+?M2j{g)7dGeRflDzKU`|D3zJy?|#d_t<7@7NXa!KUhcow8T>V!yuu&Stk& ztLck=4>ih8r~b}%yB!?Qv(W;m)5lN_gi%Zq08^^xP}H|>Unu#w-$eGF9C3(;8HchO zUH6fOBfwiWH%&OYE_^T=Ht@*_sjMa8@r4dDzN`vGT2O5=IKB4iPJMI;sDl{LEB0%n zf*9#meck;LC7dH*tl|4<@GBl_FxV+%@q=*cFCh*XbDr+#jOg}uNr{gTL;sodRIhHh zS8Yw0M?e;#*Ne7GW9L~M2+&_P;lCr=Z69_sqSeqfWy>;q*?RP-JR1S|oIFf6i>SX% zPLW%;alZSWT-o{y%X98(=wkP-Rps*>=qe-CI0hcvdh$duQkb)ODYGG~jrdeBtKD-f zL_2m=6&5PCDlV}MhwuN+_JuF6(z{ki3GKP(r9M%@|DpcQ9_Z}}Y?x3@sZ5X(c!G!S z_`H*AT}#E*Yy8kq(o=F(Z{jVM>hCP~C4?H{Ag}#h>(viDVF3r#SG29lHJxTjb<>?$ zg00ubu8hpci_YYKx&=VqvWRdKJSki#=m)Xbq1w`t;jDz`$wW3}JeS7Ov++Kpt8_Egq4@(CDfK{9XDNDKfSqm&2(A zluyd0#=hlV%jonKspMFT(ygL0}`xhUM2|{vE4Yj`T%LWE+QPuF96O z?Gr+2UiHPpY1>lSBQvGec^+ay7^$_MvG4V2{3W$a-YRctwY2Ybj7sYp{q6^-&?l~o z)>FO1V%G)s22$NqBS}?w9&`uuK)HK}Op}8b(tP{gH%<3kbaj|pQ>2*WP1o7V zN9dG8ezO!0qv}q(n8S5#T1dfQ<+g0paHkn!;lSD)+sjH?F9N%d@CXr;>#THBBM0FO zI=T)HlG{!0!FT$fUmgk@>!a_gpKRqZFr}aMrFLJQpi4nKbagWCVL{oKyu(-MBOf=j^9J$KTDTp(#w91TR z8AUniada7?LzF2n`@8ZnpT<<{D8mue_s@m&ySJqtW`s4z`Jv?YWmAP|)=k@RFT`!b zNh9!w1I@P+Ib)K+Pb#fFKz=J>&a}SHrg(AnHpgdBs9-mUGg_3iyp5K;Z1lSPUfJ#i zcF&?rU>%8e&RW2#*spEN*JcX>ff-+|WRKOG4IC~P&aOpTd-nJ&c?_yx4E0~j!{k?; z)Hg`s)!IN;v_-uDTvKM^ethjMTsDXplk|N%E^oFVx%?=?maFREBJCKfT3bWzkq&GQBZn%saXTw_N*@Df+;Obgs*_4z|Oytm&x zP-F0fub`}=Tc7s2fZas(^tQ>#HJR#G6H{cJG`hsbUR(@?x5(VXajXDwYIuO*LyhgX zab&>PEt`>m8givdg}zX+c?V_ZV+X_6{?dxiqic*-m;?WYAy57L>OtrG}q-_8@pOw_2949=Mt5qUiACJD&BYngz!YKJZ?QH&wRa{piPU6OlPXg#ipz& z{<$Xe*JLkjn~%DwS*n7!7#>t!*Sg3zz5k|pf3m|Xgg?b?%lSo0H=>&Ri~vGPc}kJ+ z48N02^jB;{iYSz*#{3jx3h5CsZ0ZOh!1k`7PI$d&_KNyuV^|PFZlc@dnibyo@@FYG z03)YE6i^E5|JPoWZT)F$4Z4ps&0NT}X3xNx7} zfX~y6FHRokyEi>IoS@9*P7;2$*#3o@m!RZq7BRNSFJ+b$33|tg|63jYzhzH2x(|r$ zjs(mR(Daxjti zox|Sf{19?Ys>pys`?Zn8L`jfv6OXqtis$<=h`1AeI`46 z(2+;p7=&aP+_%5?YN<0sX@U1uOb@(K0fZ2aE-{QrgA&FrV(5+uejSdh9lJ;BEW4-P zPyf-z*Na`QB(HCH%G>2d{ zuXJ_HkE~5j(}E)%-DhUnRa+jluS1SCv5yaW$!#0KJ)@!4woU_A2k^|1@nwK$db^}J znoDe|s>9a?Px<469Z2k4?oJ71`U@k1XEL^@erMCsj)k|Lf_G1C&oT~heRqa0P?BaU zd>*lUX-52OB>1RVqT%0IhCy2>C|s62_x00- z&j8q4!2q*gW1m8Oy{4E$Uf!`f)iVFH10F0s-AL~-c5ffO!#Rd#ltEc_X(kd~R>(tV z$P!mzmtf5DBwAJdHhdutavaUp=YMpgstSJZRD6xK#K3fvt(m37IjC7{Rt+yQ{TjrW zRLcyN`Ka_zw?+lFD5;hA6VoaY1|OZUA1@I#KjVnK$PP-`wa%0VLYp|p=j-(6|IzY) zY&lp=%S5C(j^{P0s_)$@h8MTLzjeQX^YZOCP4f)MeS=|3AzJ}LhB52sM zdtOn%ljEQvVAdbKc003ffJias5)t0?7PRN|7{}_7E3)AIeS|CBmHLI5L0)i;$WwzT zlBa)Z0wpUT$PygN%dnpcF>>`lCCpTE+m(Ri6iQRN8yzpQ(q11-5B(o&`LDJ9KOO(5 zUPH5SS2bjTt;P9YeCly6q++!N@=`XMTbhtx#~{9$r25EAWrIonVTRw~NR2-->cfQf z7nAKrXzA&vh`WI7Gt|NLo?$M3m-uf6JZ^YnJH83&T?6Rlq3b+|TAn%t=U(p4K@bOk zZpU{sWDxkeDbToUeU~km8mGI0L#whXxai?VX0Rdi$DYFRTI&^eS#K7b?5AA(KXw=N zBKC73h}L_miR!ZPRU4jR@~^E?`Cm-2%kM!aD_nYZH&>*dt8z(Hu(boFl*;k8N+TT@WDOp zL6sl@XKSmdOu?uXOybRXnu+f&Lf_PlTiwjwKOdWbKh^g6&6XcEk#`EELXHo1{Lsq& z@q(j|!}G-QQ$z4xrRQ_7;WjWRz2-B|RVd$VBx%MF&}v)s6gviknIZMIEsU+6%2IuJ zUYYexT$s8F)f};}{@u=CP5fa{F?#U58#$@h2xR*{o}B&M5>wIk4UMch_i8`!jM9tA zyVC@wY9P4~44{ZX`|_Qx&-H1OTnW#EzIA*#X1>BIU3ZXFEfO~LwOC&LfM`b(yDq+1 zHFNPz)2SRj@E#2u(jBN71b^4^_(9aDkAd@o(L4hPI1VTXIiO-+07r+n5-T0%48Xl^ z2I>XyC+EHBwipIvrpuZK3fFLhKfNjC<$r40gz9&JZ}Y)0o^B&9loY*uaECFH7sHb* zkkxHS8{?bK2L&wo6zIqj0+EN6!o0r?<-~km{}BHznX&0DK62nVeC^=Q2yzSugS4Kv zsTWFTrzCoM4Or6v8udwJYQYCtjS$qUE+RGvz_>{VM>UcUN7q6E?NM9xUz!c1^1`$v zwG{bZP&55v=Ht-(a^qUanU2UvVtTPu{)u;}F!6gu9>z3VK{EDae4?VhUXs`~AVL}X z?!K2|^-&(R)WYv#d2x*mp~%s)0O=4LP#23PkIr~qJ>?kHF>PY6V8~is6qB#mTi|&e z5FIgYU%Ke=R+i<>EP2`!ur$Y>(+?pg@ZrU!?Nce`Yr)s4Zcx45%=3@2Q zZ>3lBcyWqW84|RP>1mbSC-TE1Zv@ zZXIVtTU1=8GVbZ0L;tVMs{mZQQ{3GLV1hrKNW9(8%j6X6Jk&~$UM=^k^19kTJj{eA ztsT&8HXtu+(BIa`TatfW`d{oXrgl_^aJz$1uGq{>`OX;tc9EtI5B_-+@E>#W%J~!m z!1oA@!L`J%VXJoc;1={PH2mQ)o*yvWLWLV?=a>l`WeQqxp(u*+dABULPing7 zXs@l^-36C$s3o5zuK#E7rvIYJ|5vBj75mf$$J9Tg1KY&u3`v)uawt6QW5W?L-p`V2`=&~=T}hY z7=nLe`>ccqupmvyA~75`Nefmf3#&>m_tJ#Z^TRG?()x7i31=HWx&C1H@o~x%tShTOiUw zWeA^~7GEH@F4In=R4GVY0B)`{9rcTik#kLCGh)RVOp=Dwzwc64e!&W_%(fH%&algk z7)@RBG!wVaGFRYa2SNT`+`vXBa=17_#8ndhV0=nIX+EP+HN`v)?&kvo;< zrB3h;O&(`2b-vD@%%9y%3lV=9)hRW!RM8gGAu`lK={d0cep-n&kZtjKUa<_J+)=-w zcUg{oVNiVG*JIV}vaE1&N~{$hnPCzh1O*GnUg_ykt23sJW?*>UB(6yIT!JA314XlX z1}{u!lH1PC3!+&`MY#k=77x5I{+pVKzNO`ZsJYlH9a|g9n$;==^j8T?T58OC9ajWO zC>9s)8EEq6+R^;W=wQVc2mu_?8aA%i&cy)^x8J{-{7)?FOK)~BA?%Ufs{X;uRDJ6U zwpX()ELx2d^5T`R#R73Zj{Wb0&{(Ldq2A*xZ(>oBYsW|o>#NDV%Q{WzHpWvh-?Uw8 z*5+0l&-ue*JduS+z3$CeN$tJdWl=aNE&xHxKzXBHD)Y|bX>=PpC-Loqk)As<>9*8C zcnK|O9|ujywHbG-f|=7fpir}B_7iC!{V`0gVC)&ez8$NJXJ4rAg&Aqu73-)MzCkN@ z#M+;ngxOhWYF8lB3I-t1sG6ej2^#*X+nkb2Tb$*IfJicxe)i_7a#Lg+D;w#nG zo`xvCv@>7in;G2cz@|)iqV`tS^=Hg!ZyV^C+znLn*{b#J_6ln+W+2~It#$9H;-OaN z74rfF);*KjvDx^D(unRc{UN+BwbjEvJUW|ZOIhykn~i`x_H+@qV+!de7y<3}pXScX74pfWhO0 zIdqB>(o{i)hiN7*7&~R?dWPPyW#-(+sCh{k^BJgq3A0Sm3@X#ep%#)cj-HyiQ_n6d ziJTROL)FJE;ESjGvLlDKBf8t)(yFHh)h8ZwJ!m3gGiM{`%!;{nq!krFj>z1J?^u7x zEX+@RCmFhc<%!|zNSaQNQEgwK%f;Q;a3sUklF!Yb`sdQ?i9nwmzoVRhl56QU9HWA0 z@CHP;I*DJEa-(G@X{0F?2C)u9YJUXJe7o3#cUBA2I2rlhzb}4hF%OJaPiegjcA93} z-z{rN&MWFK?<&mHRX7z}V{V-WHLjX+Xoy+{xHD&!ZR&|%3JISefnHy{(kEixmC&p~ zl6_!ImppR1GkrOa3R$Fw6n|i!wRrlQ=axj}&hya@x36$dn=7EODL>>c63g6%ql?@1 z_l0x1l#u7r1mecX{W3-Z4Mjst;-1JC^Sf*inu6A*%_=|EKjWX4rdLxj(gi*(Ny{Gd;kCHm-xJeOUUHLW>CAC@zlXW5XO1#zdq~rLkFi2%CBC=uQDFA9~vP&Tf zr0#JADops~OhZ`u6&A*7y3(H_!{fEoNCh# z2rbnh=jSd0pSLz(W(+pw_Ux}EOQ~RPgKx6+VC6pijahh97h1t=RVh<>F9?5a(UZ{o zV=vsy;30vmPpHtFpdxZ7sRE3t<&ojoWh&_ge}$Uq+D9OFH98o*EOXnzGyKMt*SkV90A?MtS*yiP2>| zm|y2I81HXW949Rh{5L0f?v&R{C%)+B!Qw$d#PNFDiXH8D?N zmWn4A@U{}9?d+re%V74uSxAkwcH+S<~r1$`JD|`k|pPTYIJNX=x>?M_t0d{9`6Naj76yw|8YL z1g|U?VH~>gvSh@Sw8EizDxi=&RzOFT;ED|xI3pIBWH{|{^59nfU5?u~U7#65JSs7sa56bT({gkB6u z2%V(~gdTbT+X_Nx3rGu1T1bH)B|t(`lx{#Ep%>{8q*p=RFMIAi_kQQ>*>lVH%|FRI z@B2Q_%w%RVGtcwI7l5hvUu;X^&8*9wncUdcpn{Gi*b(5@u+ z9^zBvE#;y0;g_U@L5R6&+;Q_9GVWXPmlJ=D(GeH&T7vlwt=U9F;TNNAI#}LnrWkx{g7zUjx=Rj?ojDqem^KicH zsZ;>n=j9wyG_pmHfg;%@b)5bDkL5o5qPezP2;0xhTnEc0zr8C5bx*XIwHzZkZEqu` zE(tw2e(}q#I51;9PvJFA5uSmPe#dP z@E?xSZXQ5h9G+VirTF(1p`d)haxs_=c1m#?Rcq#V%yiPY@juwXFzhNX)79u(gD4+JZ3^RL| zr(rjsCd@EnYOqswRX_ULk)6XUsLt?_{#-jN_cWV5CxzLVGxDp}Jwc=@s;f>SM&QGO zeWoW2Uiv1vf83@CrhH6mr&PIHyMWNcKMI*w;aM0bEH`pX#(ct7dsqNybS1>WS3ybM znG7oVDGNRP4i322$ciFbY+=u-?lRs_FuCdVdca1h1VpK==d!sFNajq&r@`#QV1G@v z1l%R!!_k%TD}|*^9vIguSf#2|Vr-}*A?ZLoX?<@I&Dyq_K05oEQ@~g5U|hF&C@{nY zV*?#NO{%4LDG16)_&5le%K-@E z9q;Zo+4``=Nv#633AHzT;eJvjWIQ|X86Hm;G61wPLLzNSB|`Xs&JY49rwr+gRSPsV z=nA1V4%N~EocE8NnxzXv0^oXzemzEtk;_wzWgKN`*88+k2=1}o7-^J}ZaE<6lJyev z?A&$&?ipytz&5tamI2*dBurnEd&MlmM^~k=6Rd>fG(USKH#G?lOM)S@=-~gNi~eV4 z{rhiX>J}_E0Cqc1aakzBY9+;W6Hd^J`riHMOeT6oaw`}&Y-$!Hj$|#_03@? z->{v!J0(@7OjCQ1TpY*w{pp0vU{2%hEupPfzM5TUo^^?BP1YX!&UVk-oUWOE^Y=w$ zW21*Wwao^X!SHFvtbi%I!KyIjTMgg-;&Ekl{aY^oBh{tD`4Mu024FW~8>36i0$$jn z2-d?u37z#vm{PYcjmgY`#9n`cce~-KhbQj+E?r5hd$?i{SQ{sj_*9;X-IC%I;cj^v z^M3J*OUN%^u1Gk?JoZp8SW$^S_6vNK*{eby8aD7Q*x(bO6vsk1ebmBxSHC9_o|+7D zPc76`25AA$Xwigm`yW57h$2G)G8lCJnQbP@d)Sa8|M7lMkeq|RXq$q+jLZ5|uJvaH ziCuFTHKZpIH$>NP#WG6@gPMpsckz)HTkS~*KFIqR;+a(wJY_KeR-YC$3TZTAH+@MU zy>oOHn6wh$$l2EELrV{n6fh~H{sTu>Mk8IQ(Z@=O>B~$D;4ZztlxJe3pAXHVp8`2Z z9I|5mMTzCZ*N(-e}^h)k~dQV1*0&Mm9@|l-htE75{xkrfSDsMVI@Ry&gE2^2-0ua+2 zFz|Gl9ueFV1zqS#af}Fw{HaWOPh#W214ylMN#!oHO>bcUq{Nw)WHC3{$6Xk-vV95x z47Yz>N*X?Bx_)HvidYAE2ko-OmEjU~78mC*ehrhhnPVc}@ZgD~CKcBLES_xhvz{%9 zL%p!=R&@-9jwqzu$O1u`&6ZZSm=~4?E!3$NJ&*d0^FBq-#$|zg-cKR>V~c>98^rhZ zOFelHek^+0nmeF=Zt@ma3K4Ao-nIxXJg6;ogN;qx;)QY%S>g*bQEyRas$V5<*SXDt9>;R&Hwmq{Zoc zJYGBioThoC>*}V6mWm(b&*jDB)F>*KGRx&M>Bl8<7QDH3R-}+b5-BL~c`Oh>~Lrn+Afb{N=@!uO>;H8%d504@7I?68A&ykQZmglvqgPAc6$}XA}Ns1e$0s> zowwuN?Q?1^M~cc@A2I~@0RTsuZIG!UI+nWDi!qTXl1qO8X`^YT!!rtGQ)#O2=%~wiu=d+kJb+NH z{-PyKNY4)&alxq>WbsZY_hqXqE1*~)?=LMU#O;2?y5NrNf+{>1w+`f2zij4=SF7nM z7x}BOyANMkt&mANFnn{;#&;xv^Nej!2ta*&(j`)oYGI&G2$Ml3Ko=X+E?A6120iTd zhQ{ni&F&j`5{pZzZ%@nWs>MZD(hySe!o-YA3om8o9Uk22)@G>N6I9eHDysS}#NfL^ z`Iwn|E4z38YA^XAWy8C;(VDq7e91;j1Zo=XKG{!0IzHHYA|`a#%Bp~@Wj~6zJfE)G z&#+*p6nE1H4%?O&9mB%>9l5wT)P7nMyLTj~aJRfX_AP3V#Y1v$ccFu4YREvSg?0W$ zT#j2TG3|2@8R`f5J>{!}-RT-b;|MW}g@y9k*HCYM?^m0ZG6?LhG?*dE!L5+q` z3t_&T!altZEh?ru?He6|MCY0emKJw zogYa+=Lnyh4^|62`RP2VV|qQLA!u8DJbyfxDR=-GUtblx=_#rMg4sF>m&0aeb&OxW z`n^s6JL$A(ANRYlv9EY>Z-d>PE9Jg$fVSlE6#m`rTQ4!?sncr?A$sJdxtejlM3x&> z)59>9Df1HxE}NB7ygno|R@Vm0O9OqQhNJH!dirnZUFAr}<~vmJFpgT~!#ZGZ6L6 zeQvx-0;`8!PK>68e z!br(@1S!9yR5teS)tE)C??Mx9k|8%ilV#@J0oGZPK6#7 zzg=nDG$$Mv6)>>}>ob$MElL+^JHD4EO~OWB$N6<)KYw>qTftC3 zbIGu}x)k{SRlyJw5FF5QsgM84{A#>{F{aoigW%}&4Ns<`rNPW8L4#SmkETE@-)&i` ztgi9WFntEZi;Sl56u!H1>s!UAv(6~yM$K`!gIO^i0PuzX&~g6_Yi<4Wi7iz(ZNjA>tbr%6T7*VHNiC!x$G7aoWU3``pHdQ?&wj)n~~U z>tJ#!Vbc;nkh?zPzAi~$VHr(2WJRbMz6$X}X@aSDnW|+$e7jqN@Ijh>Y8yZ|Ghxjb zD?GIQ8yhX4Ey#4Gi8&j@ig9QNwR!x4*Xow1PyBu=+FVdf~%1eXA(|UtT z2R5rUvqh@(B(;6}X8lYwsEL85!41`QQCf@2-RQ)_iYt#Ym(OVONXuo{nPor_a#EH! ziY|S;Btf7bmITw$G;8z)wmjWacQ&-k%$jp;uGJT2Es)^n55GRya<`5uSyQN|E50v} zbmbJWHQfvlbG}5}d;H0&^ZTUb9_Z1!J+Kx(xHVz}EKfi57$B#~Ba6lsf~{kFCQR{j zEL--EIb;*6T!6=v$X%A3!KWy%{iTK!zkyk|qR<7$Y_&xr)R_sb(>Sr#%GaxU&70x%~{-K z5G=eXy0aCK8G|(n-m?puT{}|g=hpZFauAdY@`QoYyImKT)F2own=YQCu2~$C^7-tG zNc?L{9Jb2VDJ^wy!lN{NDO#6gjjKRz_q|ZKh>pRI)~U0P7vK=t20)X@?po6$>VoCE z8q;BMDNIUJim(TRJ?L`xzIneWu!Q=~6SR*|Jyd1fSP^cV*kj#u$jZHeTATwGfu?={0l9r66qKnTQa} z5fg3O9Dzh0Dan^fZ?tXY-* zwBr?=LE%~b$$$-k0rV5r$iM+64Sa^FRv#YYSf`j(a|r8Gg2COShq33s@85J+ar4+X zSEgIiZY{9jN1Q6+YRPWKS$F1py!2LFTcg2XEFyB1`h0Ru!|M9K*?`ziRQSj>$x~06 zKTx>36Bnf8@g~c*%%@%ZVfkq5>}qW*F)r8{Sb4= zBf$adIyjuQGDJudvgocST$*m$0P;jZx*j#%0BO&BV&&HfRfPt>DHooYm?8YBw^sLN-*zWK5r zrWn;**Utb@R4J9#gdsnGyuc-pakfQG^RiX|uAs?IdE9;y@KZviqNTfi=OPO~6B)DH z9pHc#@-7V^@dnp^T>h3%_Zw_mZ)5RD_3NOCEZ(3XSTEbqF3`1kG%S6t#2c0B2W&Dj zS5r_qH)&$P0~fYc)Xyin0b4~`gj6isOhL_BbS`5!m)5{c_)zKzSo7Z3Fy>PEQs(~M zH$`~Ip2hXo_-z5S9FyBO!8w?GJKI~aJ#%5oUex}vjs}mtPbs^bN3vZ%e68L;{}uoF zOQX&aNT6^>A(>{6j{BtVuKa_kx!4N-4!-2Ix}MR#3<>ZuXIRyO%mvey$p=25IW~~5 zuP=FDmrf((F9^g;zRx*wG;OJ?qpXUT_a8Z_exbZ>CR-SXN^#%5d?fXTeSsRCG7jPt zX~qaz>s!YsUb2jL7R=@1Qu}FH>~W9WG!|-@TU~(PqG?SjW3>^bmsO;YE8}h2{m>?! z?}QDZj7_Jf4^NAl4)ABgAkt*}G z7|AUo(|w{u!mW5B{2fE_iT59ti~nQ_V);zNDp|JT7iwJoFf5X=VE|Tp?0B1-uFE3* z%?++9Tt{xW44Q6KLjl=3U*+vkr0Rt$ zS4L(#7~@V6{@3v{l$=$xFMrc;exGlfBC9h-i&~-m| zaq7HFclTJg>NYMmnp{P`eYW&t&6EF-d7_*ddG#({0fk!d&#U-qU{3af=!uFe8a>yg z>dpbmp*??1%a3@eH{Q6x~gLRe4ZO-7-+kD)4;#PRRWS6I^)vByp^vIem>9s3F>zZ=kh%z zTu|&i>co^np@mzYp!SJ6k2kx#M8B2HVK$5kYuW%r*M{kzJo7RSF1@#xSXy`=w|(+s z?qB|`EMFcwZ`9gx)`yqTK&ZNLe74_vlROvC;+gay`?Gtmmr|J5=xD6tto!I2;W61kwX>Ra_z1C|tM zYgmy>v-jx85mnGR%7rz!mfcPhFe)hu^r1t^Rb_YsIUxWyufr&U^7VS`OmwNdJ<*y? z@9OJazP-LOy5KvDNy+fEUeDDO)nU!8)}d`P9q4^2?-6fm!Q)VBBsoAH=0e1!!-6CF z?ULT$*nr~?qX*LQ8a+Oks)4R}|92oEyCk$^#D&k$k2<)@`#gM_*6;7v{OzYW!2p|_ zG5fLRE^njeU_#92NaM&NQ!6h9j88cZ)Er${z$KZ2$xWu}nC2MLjk6y7?Y;lv^H{e&(tm?L#8;@eOg3*Wxc#ywV{2&WAz5Uhr2DWh4<@|@+Yi;8P)3by&yb; zGa*@@kQ-*)_WjI7;0JF9C6JU>k&ER%97>SCW!nDD#fev430nMAn6Tuh0wCsWpZbr= z|C36bPJMXI#hQgqV_PwcW5vrE@0wW#^$Kca(HzaF7mCE-kWlm*)aJ{Gr)&jm3zrCZ?K^q3w~RRN@gQ!jBo; zoD|`ei&omQm4fZSn8d|bp2~6~{VIg^{y_))%4)8t&KP2mnhsa~S+M-w5H9OhoE;$1*I2EV&2$3UJZMGDRst3A7Zx z5O%<(+5|g+qT7(Cw*5PH(PLQY)!c%wM7-~dPq5fIU8 z>WNxz8oGJNx2;!@uC(?e<@^=C9s^%EAylJbxkZ+RZFoX;jUU~hfZtruS-(U~;@!CX z@`zU*synDdUyj!?E6`Zu-h23Qn(NAt*raKP4As#sL7+{Ow-|VXZYKV(3;o}^>p9k7 z(9aCD9z*x!UMTlHY354|yOPuA5&B z{1Ww1kSWb2tzteu0_M62B;~o zo5!Nak49@M-0i`6z`vhNWJ|FR?txEw? zYaOB_7g#kX2?R5)_!YeeeukhIFRbjBekt!lLYLkicIf%5we(u$s z+&hDfT8&r6R;e-qmI>iy2XWraG=SFuyZX`Nqi(BgnMdWYlQ-+h8rdbgBCR_?LqmY8 zE=~USP~-7l9^UpaGLl0D;jPL@ndtHRLg5`)I0vBaz&eJ-=|afU zuWv`Ncw*W#)4e%_sYQ^k%um!l_wM4fjH60|l z*?)jp=&wt@Vb~skk;W=m|HPbRo?owgD;hsk(n{XIC+}gg~H!a{G|QKQpnR;VxgrKy-EYx2znc8?ot7Z!hG6Y~allk{Di zA1IpgL3_gIVT-xyLDK(?in*ia0%b9#Ya+SyVU4D>t%EKfGDLPq>~C8g7h;$9 zwKV%7DFtJ9H}-96yUes-_2VUiqXRIj35iz1OW?waCZIZDd$U_UGAzKmw%@;`VQfWS zc_o`=mN3Cc3sUreT`wPK{rtyY>hE&Ivl;g#mMquyvJT?XYSB~zK2@SQDB6JU^%gKR z^(`Nty26xJ;JA#_vnwkKs7ji#vq~0(J*#!*MxK=E?ps;w3UB#-!+3AtUQI8^)<1+c zv$KsT{Xje+pXywbW+*k5>OeB{Orxcc)--ZHU~gn1A9tq`qm!!XtAeW+r#+4nhOi?! zD(puM-(X6-eb5CC7=du$tkz*h0@$pT6P3=!xUNr(7!B(_xl9;WJsj1&-9@kAwQf~ zzU9l5+#r75;~R7qS+Hxx!XGK}4$=bhP@X|hRxDW=9xc@jc-VBQT8j&IDKSJGW-AF# zap6>E)Mz~RWbKtwYFbo(W0QU{rk%UQe?gK;7c_J8=9bK@9G{~Lfb^HwYIxUN(#+jB zXLYfmVE9&R0K}+%vhEoCKr#J=*+-AP$3pq=>Gz}=czC%ET+JqWdnIkLk$Q>q2XaGE zX+^dDP@EZzDJ?v2)3jJ?jlaau@vTp=uQ&Er$%yAiMHa zZUr9c#f{0Hw_I7>nV!LK))-P8iHs zO=)-vHJV+6o1nIDB*h~nFK|7jvyq|hs#YovZQYU6kD==zL`4I2*c@v}>6I%QhzA}$ zuE6GOwE#z7l?r1@53PquAUwQ>z4Lct>VI%?Ql+4D zHGU0MYxf)5WUL@%#`>(=Fck_tdd2@UOY}*DGQ#B_+-c1&gam`~z5Ys=dczCVBc<{b zk)bGjW476YE--+bP^I)xz0%24;_J;FRuIxj=N4bxF{K-f?RrBD(X&_u9V;P^Ixm#%>w6H>;4X7b%K~pm`k5P(xGQki(uZ2xx@Xax9ogh*7qq z;0Z!~UA7x|D;Uc=ABwEIQ+C0?|#HW&PC_ZMN9*DO!zb5ELMmcw?ivYQ7b^+35;sW@wID_g zOWuqRLy3Gk$6sCIn)FCXwoV2lQEE6SgIfby9F8zju)2<-X*}#hqJ%9morGRf8EX0N;H$gC^+){*BLYp_od=~4< zwY({1+z>ferGPR;NfAK`t`U&Op7r*BvL*Yob_Uhv85B(?jFg09D$8D`%)# zNsh!M%L}zNg#2(XKETrh&(+z?MP9SFZ@m7>`gc+1&n{@~_)gIW)c9T(PDtuu)hO3i z4yEW7$&(gIsWs~_d>apEYZ#rej7x+hPzW|m@)4Wm6E>reEGx;1Gy1x|9>*i+n%&{k@jYXw0{%W>miQ=>glJBeZZZ4tRTdYE$&ZkR+m;w<+kNNI* zRMlcfgYymhi;Z}`J(DhWs*3_|O9@rwMVLYa#uQPO!Jg)dRgachVqd?Ttw^(<;ul2` zB2r~O`R)@QyO8?_7X&C#$qSl(^gCL2*w}=@p93b^DTKwjSgtTE%H`sn_wvPLNup1- zb@8!>2R}vnP~_W>0zU}wtD?5sk*;q}!NAaZC5-bO3;8VJXe((pWS4Gu))^$ zCNFiiA6CH(jJPteTCSzY(Eq;rmqO7xx!EnGKRHZNZLjZ|@{>PlDxNF-25og-38SAP zdtFlPp93cStzUN<-)?#O$xOK@Fvs4%wCAj(uc;fqfhqqSa<1AnjdF zC_f4dH=1N70b<@IPZbWRXx&xL?#EmlCX&T{^-Gf7ovjV^6zunHLmiQ^J=gIsq0o~w z4|=UkE6`hj$nv*_3Dz)-GXQz#TM4c)K4V^XB4S0fW2_LvxWI=wS6EDyHE z(L02wK?{QftkJJmJadD9q;Z&oos45~N$em^jCwPHWyk)?#rq}OXG4If%`y!JO|B95=O#zXgEy^#wRM6fy%m0f|bv%Bv;$WK$TGCvBQCS-{~KY(D-$w`RrudKuT z9i0vs2%&LD3z}v^Bz#g-0ti5^68Sx5i`9`6(zB_kWh+vU6Fh|LAB z&~C&t!)1rr|1D^zx{xw8vpaG*G9f zItr#^3lK{dz?=v;`=a`2tlHX=IJ9-ATZo3OHS!Mh*FXa?n*M5es!RO=NkFFW6Mu~5 zOzifD{SF>MQovNbF3W=Aa9YyZ0kzxyiZM9)lhul&1;w4jcRhJ<*bh*2T&iFTK<>2e zc4$s*tf+tUE%208?%w}s1QCxwYN!Uf@4|upeHf`tHHX?B{plJ8^<7}~eL3nB-BnC* z>E=f)mp~K^8lou~wzJiFc`VYv1>aCYz-;(p<}1sZdqnPwNSzD{VVC!F6~+w_b8s=S zX|PRJx2qe2gT#hStnkYv;h|SmRJs?JRN)lZNMCfsUxttGFg=vMPr>@fi!kAgwMRX# zo?S$$8*qVPTx#1s@QfsOWbd6sJC;2$4$?)f36Y*I$&T<&)IiBQV`90$19ccF6;T4R zkNb-x`~Dunvp1F053EJE*XEISM4CylTR5Es^MiWOD(`tIc%UsykFCAXW z9nVnvh6O|*pG0194+j!u$kCX3i%D*AJeCRrf5+GRa$H?6?IP*)6;SCG5QtVh4j(Pm z*Gu;P`q76KwqIcXqfeD6*SIK}nhQ zcifi{Sz(-iFuYZ^oZRs~@uAjGp>tjU%L}y?-~6k$G;U2`t(~rs96>5B9<-=2l3<uwOcd!=d9| z{$Iz~r{C3>zdO>70`PGIsbE()mir-LX&wz3ERb1~rQ5v@&%x1MBgN8sj-{u8e2>s2 zCM`i~OBoMt-}!w?{Qr>7^>)e{P#S7GFYQ;#SIXNqS|N*bY` ztYiuZ4_gpl`10+}Ukxikv&Laj zjppGZZlPKB#_Q8G{~hL;Vz$#6HRS^-zubL4>gwQ~Sd~Y;WXTA~8+qu|6v@SfB9uP0 zzBXCNZRP9VzxCmeC@XNziUWLeN-VS=6;?^j` zhHDW@c=jz+Dt_#Vvd-FdcmVjBO_HDBHnjhQ9x!<=*~Z`@HhOaE9#N<$oH0Nl9aH9X z3lG2X_O9<^${Ay4n>#%BA2MLtye_GPA}8JMVVN(Y3t+)|Gae+Hr}c|_9tlP^W;Qsl zKmowWrQ}+VS&%)i?M%cVfEdNH4&MTL*FLcR=Lja7B5Bf!s z{Jv#$MoaW#X}~Ef^?Rg%`pcqfst}oDLQ}+-$dhc@9!)R%EeyL+E7v8dlRrU`786TB zI_P=ZqSwufkba!saJY&yqYl$kXj`F-qAsVfFVsSdP0A8@gcX5c@WktUdH0eVCn|1% zRu)I(EF7vn*?5!m7mA}GT7z@8^7Hr^8a&-J zW8D;6NpF)Re4#bvyyYLKytT`&;OnA&C$vL%U|*L^Y!ft5F``;3j)vG)jhKBRV2=IPIF zhe*$DsIjxmH2;6nN$JWSu>+fsJX}J8j~`j66;IQciugKsrYy+|A+y)JYK+U4T@coIk;a!&_(*(ZL+!)To&zTRqzZ(`YK)qqV~X@Bb$!Hh z!iDX`^-0{=xA)`iYFgb{OuV?Q!eoRcw2uIIDJSg`XX?=tnTPRs_zW!`t-l9AF;yGnTE}-%c4%f{ac%%(izDhMng+i=X_V)+dtopWFP#R_#wR&u+9h40v?w z@bW4C_+ETq*8EHNi3t0ai9d27b9qbUs*E|qM(1GrM&D{>s(?L-mo3-NvPLqMnFF=H;)2lz*t` zNo}U5RyWv&H{Sknx8-DK)47(1`m^3GcFjNdw^Iwt%L5)U$y}MxqwjbAx}iQtwh7N0 zs!?D;X_{dt5)O+=-)9H(6`i_o&{_N`9^uw1ruZF!nEr;r$Lxp}S!moQBeiZH0 zJqETXL)K5R5T2-nN*45eOgt-`TKi|K-vyo9`)-vx7H9N+WMU-C35RUD?ZMYO-`L2< z!?ZL|F2EXu8?LCqHbCeu=q^GMvf;P0eLVf_gcaKf^(pCxiQe4F@`B$$`or61Q|4%s zx;Cpb6~;pbIS>T9fFloPQODE)Dt+ybB@S%(S_Ry3Zc);RW}#9oKKb`2&Qi+Ax3|4( zcfD&#&4yhK>592LDRZoy{1c%|=P0Z_huhmb|MuufnbNVkUiuh@0^d{S&{5)CVhqfh zl{W6mad&n)MN~DFB_#ZA!V&|k6Y#vBKP5Cys+_VU6DsXCenjViVD{IYy2%jjE8n#( zH&2fJyZS%-U~$>uxbu4Braf{EEaK8(Ou$N3pY*%;Ls)^1^)x5&XhMz#}h{Kg#Qc- zmIPq{zHa8f;m~KvIPnuPe@p{nvobajE{REAhuJqmoHIVbW9Z< z34}K}7vPc`0gLTRQUaz1vmu!Y&bK^R!G#_oZ#tLVPz_z&D)M&*!&Tc4_ib1_v!1qx zB^jJ68zy3@tnn)uTdA})=(V4c$WJM^J~t0VE`;W8Xe^{b{y5?M^8)E9)UF&p!E{+HETZd1+UgBeMyV=Zs~$*lWdSKtp%0JX;QM{8RwOyW1knDDD# zRfHUGPamZZcuf(*r{eX%W%`8r?j|rUU-N0o7{8cug+7o)=!wlv`HgK!==on}oOY{r0>)2VAIZ5+16#z@R_ByY4zSsezS!EhJk_)XOlmI|&%eHSAizM5ENc@J3aU3gstRuk3Bkw@(!BRMoC;+^vr z-dShCcEu($95-q}QwM1?P4)<)&BD93cRg)tWYkk9mLJHpr4YDO)}PX7SaEwwn`S*x&FJ(KS?K^524aojDM016OIH-x>S2diBjZT zYQplAtgD(NlDM#Y%Y&x)dUs}>FKP$b{H`VK}p@d=wnvk7Oj(hY2H_lES$M_NA1a>)rdzcEZ6~Qb} zOKky!@SP;ppt`-f3DC*6)~UPr(dM!7Ub16BA*o!K9Of?rjv?*-#+H9W=I^BZKV6)* zshZ|cT6`TF(kOtdvu(dNk0$L~m6SSyczrt=!ZECwMR>;S9)Cql~X;uF?HZCY2Q z_bme}UErK6r(5{S873gCnh^_MMuQD{S53?44MFVHu_@DF7;47+^39&Pxn=N^KQsm+ zJ1V?kWDO52oEn{32e{yg5itoxy7!jIOvdpj3s!FHl;>^1`QT8P00+z4Rj&?7_DQX_ zpBoCsoLl{rSm2EAP6`0qq#09KF#w4-=iNiJdod}AEOfFY8-}(aIxQWa45X*X*njOT z3$QPrZ6(Zrd9FW+R6kb}u7W}_((S7g%GK&^8Veed3P4thu9qv8?kdBq{@ z0WdVM`|Hl?;+dbh-Mk+W0y}?W8^9JB1HnAhq^qX+Plp;Hc*fN>Dz!+#RKQU%r_sM} z(gAvsdTwd?@q;33Jaw$4u~jVXVYh;OsHwc(rQi%ph-kR{8dDr<{HR$x``gmd)08y@ zX9`oKl=P~~+{nuE2py#FxSL-Pyj(NoDzY?05*p+Zfc4cIkT) zM&dWNnAz+|>Uo-q_m{n@w%^z$&ct({b>1-he zfeOmH-P)`Mms#=alE(_D8`DSki@pSXcT>3iW*O7f6ma`DHX9`tfzO zIiz6^UepIT4cCH_{a>gL(4k-XZlCJKhy@cz-y$cDP!<{+%IHCUu$D+P=}y(D#jz%d zX0!S(zHAG+1j~t0{6HyMBH4ODC&1=vXd@s7oz=Rt=;U5=@oT>Wo^%VLH>}Ja{b8AZ zOBAFiT1bSUb2Me*ov&Wg#+`01wekU;8X;>u?8dqTOA!SKvR@q#l|^0Y2!04wU6x;_BLQyG?J@P6U!K~YMwtu@)$Xf%4h>E@ zVx3Bba71S!N)lBhNQbF>`=QM1XvwT2^tJI9X%->XT5`^hu`BVFU7zqTS6(i4-5L2q zcfUE7xFV8dHnCn!7UAPmN|Q%4ytv*@tjxdo#Kn|A&Ah%+f-lPhph7VfDOUFJ&RpsQ z!nTfNxI#q*ro7sm*%K+j7rt_h+D}dEc?%TpUgv8i5Y$+fu{O1^!v@{5y`gVce~#AP zmuY^5jmApM%%t-ZC^XwcSHTwM(Nk+Ijs>?1KwCLu&RZuX>Q5wkjy3V|sR2;AFE0HK zo1{BbnP4ntovC9cuJ+fFDq*o-yD(-}-1h9SAQxhW;sKP=9bjtQ z`^XjDTr_$efl!5g`{0urrB334pU$o-6wfx|M0UIdMn=MniD~vKW$1~WT?ETF?RWj> zh7khlcG6h)%g-Z&3s|1gO+p95x1aHw1IJ*AdD(Xc@9irW+JSYGi97MfU1_`B1*}t! zlm7KY+3d7hKb0t_eI`DSVa7jrn`66g7EJrWN_SKR;v1Srw~!0(Co&#Ci2Z7E_>VO` zkwk}Uc4ZuX<-KzKVk3o7j!2v5DI)z=G41`AJdu-9@JonS%)!t@gue{8Vlhw~I zi*@8*s4)J1MmBZd#g?-K^gG2?4lEhQfqm&FhbI){oOVySY)IC?pk2p<69~J*kW7cnhwbi{5d0`16VQc8 zD+!5Ktkg}EYklhZUFlbxA@^3s%5S=*KdU^~7hKSEDpagKPZ;bLvrsL2H{1ZBH>@0}&xl<>;E&N^X$0)mAM`VQ{CG%?+NHg07m zhQ%kH!%wxuawXb_>a29}q3~5}!8N7BRBgeEV3ux~RI^m2zWLVP(M99eME`3(uaoAX}7~&RAAp zvzWPIH~NLz%k+H(J;V9MB?bHcL)&}DHI=n%!&paAbP$6|kr|3eXhR7|cgBQX3?zgS zV3eLvL+^GaNEk{$Len4+5)z~Y2u-CIDS?CzN+(q5O`JC~&w0){zw@5=JLfy^_pLwn z-br@WTKC?2m3^=4zOIunC#%h{ZP$^H9>faItJ4{9N2$QeN_G69P%6SmTzrE|@qeba zlvMkbRK}M)x|sFr>o4q`asQat>?;PHQf8(YuG}0)B2idU!6N2r56Ggn?nhpQRCajw zV6k|g(1s>27P}_~_pTZpD#F3;yBSWj0?D$GSDKZke6Ng~IKKl?B5UobV@4@ElCbAr>KuTVky++OQxIoFx2>Sfs{H-G3a5gmU@o3)=&fkO4kG=15$vzZDA*)IU$ zQ$ddn`|K_E{VJMc2+w#0t$vjj!MVscK!kNS-_bBM0rJ7m4+cxxBR;QGyzvUdj+-F@ z(`mr~5_bv)ulLxg6a%PtDZSpRNXv46H$?Iv18UD{NqoX)7b9yLd(z()$-qZ)Ya>S3 zxqXEnnip4rY2xI_Tkn5T%YqkuK84jNkJ7%)BzVM8?Yl~6z0{XN%!Cr8O*o^f_7)Ruat(VO@9 z)U7HLKleX67i*$qc9;B|(JzZ2B$ed`Q+g4;F|5kOh*QDd~sIV)(AU@H;SjI-mD&mWpCOs6@nF3xFKUft|e zA}YWIZq{nAr~LNVsjQ;BX29BWl)Y*8t5XBLJQe%onG9RcQ{dc(D!4_6SoR$sDtia( zZDmj(tVLD?-7MY-50;BN?}4RAk~3dT*vzxxzj&Q~pIaW4U~sqHlrYl#Y_#INTjE7Q&_-4LJwK zeFe8`FHc%X3ab=kDH}|f52Fwd;a1zpI-J2)-pmL8-@H}--5D)ZDe|2%-kcRkOZ#Xf z?egjSQ|~a&3gGIWJadJ{1MoPXzAGIAWQoEBcRTvLdzIrZIvIyDsP>JuS&Sau)NM@|%CU%1jSKu2UKzI%I z#?~G;RIlT*-`^GgcbjA3C)W4B1Oxc}+hJ#~xMqIG+GGL;q#6o^*k8@p!=$GWu@_yX z*O#eza5&<9VMlSoQYPZBs{U_@pZ2LMaHkH9iQ%ON=TQ}#U*5Ps>OEn?Syl^7u zB?4G%Dt^hQgNhFhew6RhNG6lrd$L_I?u*z{f&a|!kDX+!vAk9R-BhXGX=ZjVyWUNS zfwkj>*fImM!jomU5V2?UL2< zvqM7S&IK@+cOy$llrAVgYKJeUH~3t6h?Zk!9>gs&iJsU$vA9~T1$78) z+U^UX|6%E8WTAq$Qe4f(#)nUnqj+y0w+bER__E-z%9RMp(mfI?cgpzHR4hDlXh0ko zgLq@`&R)FK#=C+XCY35Ao=VFxIlmT3CYQTT!&k;9l(2(l{1;& z!Zunz8vpbUn@=DI4_er7%4c@tSmZ<{XyR|5&HpU*5RFbeU5X_l0d1R zx8P&)=9DK8`2MBAoyW}W^JuTjgQF`ZSq&*WhXG^X{^X%P;mmTW?*C9&b3(!6=__s} z_*DbN%_^PrDsayP4AxVS@C>3~H?|MZd5*e1z+gbKH+g}IWX$n;zbuuLFSuk!Y|d8+ z&*BifiPizfiUz4lFgwZDr5e0XXqqDrQ4-9oW=M~Evso>U@_QZ`JNQjcns4MjFt z&tI@OWpVkq!|<=QT2k&va&CShtXm_R(f*BhUvim@%@Dn}Tb2&=?<`oFnX&#LumY{# zF#%0fGw+!B)s5fuoFS2)jb98MadCzZB_0V;p3e3Rij<{|n`|98`_TF3;=YlS5?7zX zmE#k`Kyd9u_>~zCH9jqiya=^1!E1uTc^zF+4sax{sT9`5TU@(6N5)}5Dm`3oVeu4aN<0dh{xaG^n(+qI~$6 zl;cyJPfAKEja{7zyi^iac?+^YsuO6|<{A3{lJ_m93PDoj3UQ^=4#;h9`BSB09;6Jh zFuNwl*s0gvuRkmtAyDiJwQ+Cd_OTG$Gkx=BoS`dfl37P#w$-0DUxNbx#0px7?7c@n zbnJ?|^P%DJ=ohEcUB>MJqjsJ7>3mT-zjdmr(gT`U`9(=0AunSWW~TxIx_-&h-oM6I z$qo`~c(mjsFW@QjJHx`jWNls3W-8>Oa4p^;+wsY!JDN(6DEpp8es6T8+<5o~*CD!_ zY$92z;p}2&5!M5<-mq{w**^Ggq_itfP0<{_sL9HY3W6YxtEqR%t*y;;C6cfe-t?%!4w7Vu2TpsM<2(_n2oOx zrxTuT`R_cavcEsJbXZuymixB~pj`DC-}o!z&Q9YTwBZ8HJY&DA=0xr+s(mkpwnC-# zn=Q;#6EOSY5*H;xDn|AJKlf=)*z{{8rn<{U>qYzoe<&Kg-!jigsXpP*&1h6YH)wV4 zl}qk2#(Uc8E8cv{|gY==dqUTQsOM;7gS?DaYAv z!T!9~QyLfT%r9YIAYgSp^X91+KW-15ddAqcKKeMI+OImfNF$t8`3 zn%>!+2bWl3O{zgw+9v2MfusIo7e1j5gz^Z()gd<+aFJ4YuNo1K3G5vEMt1(tVYRY4 z+mf`tI<`o2l>C$DQbQHexp`bifG1emBdW^imTN7mDZN!-LAv$JrwmX*P@vQ>Q!NfY zg50-~b18dk?qsUR8@Eu~Wwj5eE}?fFiI1WP^&mmRM8x#tbAcl|=)ef;C6X$>9on(F zK{5YrK4AY?X#6rhKGFw0G}EC$Nr7pI=?v{SBRy-@Mn(c`?5G>>W##2{>U#U$k5A5@ zbNEmn)`EF9H+p(`U4u4Iaru6MbY8B4MVcTF>5&EWZKYkPT~D7GpC&z^l`jc|2v>mL zojST!9VeVvlWUHHnF4Lz%)GxVdpjBG$v1!n+wLl0^$Qfk+Xc#&+V8HA`|aORVUL|g zROTw&DqD}Fj+4%+cxiDtxW6vRYiW-eJxLv*nuc!r_B74~MO@$eD4V2}`h=YD(GB}1 zZVMgr0`SFf7+&|4SYaRioaFdo&g?F0Z_<2NA)-r2!^&b|-!C%}pDcapz~w?zfpg%Y zO4uV1EVAFS<<%mC6wjMtx;o{oOq)dAKs4>=L@3%P!z<~mgW@}*qbQsqP`W6$3bb-x z*_tK@f@6r&yj2b2^B-PU2GG5rrKm2-`?nVw)~#U8TJP#_vKk)Ro=n*KY+|HZRPWK{ zj`s3QGXc?&O%@>QV$d)uZq8G+w=772+vp1KfIMGcYr7y( zA`LuOgr#C<{^UVusQt@;{*Ph(f4)^U+s0J7(@~Yh!yD4=VKS+sSB1?g4y>OBA^^MOx2xZGQ}idkk4ly&fpv}{H2Ijg)9 z6Pk}BNB3L`ch@~hDFZk>a&V@3ZaJ8lhI_`Dm#4s$8QpL&7xibi>Vboq*Y4;0KLJ-P z@tL6ef;D{77+IpS$f1z|CC7co*+!d|0(A{Utp=QfRO?zY zt2^<&rzB||H~gOgAF3}?6MDTLi@mq{ljraffapF<@2zp!YAu_7DxN6%q_uA1ecXDx z=?O8C7fbV5tG!3fozJ}k;1c6c)ACMI=GZJ~B;f2^&PX^xuWZ40q+&9vzhWN5N+lCK zGQ=3!C-H6RiNc*{s^((9yaN7yb|Ai&J|b*wt!~z1_6^6-VGG#jAtV;^Czp=rLv3ck zortRqzY`z)b?>U*0q}D?d)K>W zB0h2{idxEnSM{+SB@M^+WzuDpIfNIa+Oc`y_HWcWL9V)#Y6Z1F5LdU@R*@6!k66+x=+k-$zL+L}66z5uzSyTaW@(P*Y^Ld3}#<1Ha=pVxK zrs?&<&ca3lt`S5VCXzfq3x$6&@R!z}A+6wgY{w;qOL5HL;%z z+!Y{>BJSBj=^dL|#WfK38dOI7Y#Mr-q2PTV!5m$(K7(QxK0y#~gkxY33o%feIaTPK z*|@q+puB1FSm5_;dIilm1SVT^z;SWhu*KINHlr%jP;;zvsw-2$) z)}J|}ZX?6=%YrXlSpY$9u))Y2JQW;3sQS_!s-d96`pvmqoz7(02atTb!#VKE!BGb- z3543C!tDf1H5}X_K}ZDYo||DD%u>-d@o1<}>=UrL;WOp-0;-yp2{n~`Muxf?F;Tu^ zS^{4rubO-zQ#xOZY2Gn`lD71B*)|eM#E%ZvgZB33pDH}XEe18!hyjpEch#kYJ8a@k zKXP;>m@mk=s_|QS;nQ~iylTp*2&+eb*B>bEN@k&zGZ}k_wcl}Xa`Rum#ic&W7D9RS zpMNGOakXhfzd%y!!+vK_IbgBU7o=7+m?jk7_3J6&BrGlqTb{bWUPiq2s2vxl0{~0& z(@*5>P@jDm3tf6EfhpUGYR|HmhhVd0F$#*f37K!#yt=Z8((?p`E9h+@mwK4k0v!T! zMJ?e^o=xuJ%INK(1-YHhfU(1l8ma7p3hLV0C8htv48UTg8A-`q=>4?CLG0bB7ya6JHEDc*EiGL{Q1SX|M8K zeemUTPIZ!(SDsm5b+{0|48imgrYD6@G@CVijT_yvD%dXZHozW_^w)q#MjN%Y{~rzb zPi*>s_=>9q!%r#M{j_Z)Q?kA`1eY(23j#Wek=+%OF45Qu-93og1OA;*gvn)(x?42^ z#d_w(*3;%!N3wo-@c_fk_n6egHI=GE_;EUbR^536@zGw$dGi}DQ+yoDy#YvJ3)5B8 zAXj9%W4d7?zh=-J;x<>*V0F!UO+U+rUuen9NqZA+(A>GH-VjFS-#Y9f7C;<%v34Cn z;H~?5vYd9_tN*OLSc0@*(C5774W`u*>}Duq)!#uY;`3V@q)NV5C&slCwjJN9GM&-zxn3$wcQC4;LA{1u-s zW}dDY9=Oq5Isz|3fdtdLkB56(gWT z_tVVqD$i6_Kz71`!0A7E0(;f|8e9I8bb*X|g|dV^(r9{q-THNM(E0~vQ z{NH$7(u8aqo%pVS<7OY{vq_t5KQjCFS6SS2vOlzVP)mNzmq>@12_Y8G$e^6# zHB8Qx;tayY6{2rjez^VjdEDo=axQncBEtB;8%99V?v z=N6yKw`ui2oEB>>|4}eqE8lQ{t5-e?Yp5ZLyTZ+0;CAv2ELT?QcZCWfTx6i$9iukF8+@B0gz z1HeGNn=y-7ka<+rEMp+D&N06 z!6)>`99k#z39A_)a11CtmksiLh3w$UjP_b1OEfFHx; zFBAurru!`C<0e039eQTZLz<@>XkcXM=AD6mwUFlrNE8gF3IYUO(EoVSC)GglF&7$k z@bkmb7Zc-G(4=&n%XSGhkczq2wvTs#Il&OlGl4#zmMkhX^T8NUbXQib2~)Y*w3yX1 zG(0}+y=)*+R_tr92me;{ z|H~tZnoh!a-$;ZdWmI_8Y5-W-e9svfyI0IAxfB)5B7Ht8i*G+VrPJcliYzj-`0|ex z;l#y?`SR>%u&;FMdA(B$h%-C6fp~|4^33y27;LB2T@odsp1V{P@O8%K_Fqah*^+4% zwpH~@imAKg{rkZg8$uVhHH7o1s~F<__1m#IAkuy5sr-L5yOS`-2ggoK9Mp7ehN$Xh zG26|Tx@u|}opK8_Y!>BNZZ>x=3vY#YS~by8=-OaD9se4$lWczG?frqP!cF_PthD7V zKoURtT)rautCim<^*v)O$e}^d0B#FE-v6tRgw>gSC!Em}Q*rgU=f$_RYvK@95$O8` zwI)@5pJiPNac8_XZHex5M#qby`z4`+*Lvg>SqG=3HP)4Se9z%YipajVi=NCBFlthSr(7DM3g=s z?t>g!rcv)$1GZGfTI=S9BBD#Xz*}=kgL|EwH`ASv0>S94zM{f_n-%nwbx`z?!<1x4 zXsEQ_GvmGEYLxmATL6ExW-D*;g&14ac+;SxeDYqX$Q7RuZqVXk>T=JC@!fO-w6k5d z2wvp#Mf1GOVJj3O;RK{jc(V$^D*2&lV0do1nqNbc%M|)H8x2~K^Gi|a+(~W-M z6D}m!??Kf&kJ@$#J_sh(VU{Jm7wg@;z7D-Mwim6=q9N3Pq0kkQ5TrN5$n&wbJOF@&m(P^6bKe9qK|;CBp;O-X0Z*1M`&&vpt`f z%18dQRY{ZnK04O7$nB$*6Z>26mP&mUQW$@Yco+mDog|yQ_=6u07mt}n3D*4nV%c9e zU32@pznJ2OJkFkFcBA)GfwQ8jrr;J$C4qv6HVtWdUwvluDw4nofO9)^Mflvn#-mXzA$h{PKxEl>jxMB?^srGfZg-D>Hg9yNCn;`vZd@-^w= zWpcX8G}RW>f2FSfvGK6HD&aU#D9zsyH4~-1Epoy7{>dr*!_HkecWp5&(COV2N?8pg zLenSSKr-Na58M8EO?gh&Fn%!8zxs75mP!$AmO z`hA6q3f1W{Tk!Ah`W$HL!SfJrQ_7z_)~klu8VkXktFzCIhVPS5YHrZmgLHwb82zyV z*7xtPdhC{wI&^i}y|E{|}R`f3@Vle{j~EJU^m%XO>h&AG{aP zbL+Pv;~-7YQEs3W^j3~&K7BE#I_?SNTkqePrei|6`0eo`*;m^T+~<5|5qS1#V3AYK zgk^`p3aaf8?wCGaATr{np398Pzptn$cJa;c+{sZ;{n%C%BW~9zq7sB?*U5W&Im7n} zXW8zz=hcA}`@)+NjR=B-%NihDQBEZ%-`J2_`5zKqpIqSXPl%EzWbahtj*fGYmB1?J zDQuFoU$uKE=t|@Cm)onOI)$Lwi2l2yTlIK)J9Gsu=JFxg8ph~MlgQ(G1_S2%Wiw^A zJdPu3g&gY-sxNe+^9lArI#XwtB*8r)C*>V|LLL>H?iIrRzFx>W-@g z7o;1v=R!`N=nc#sEfbY8VT#mzm@!4+d_YS0%q=5@ZNHDfbfphue3^` z(dl2r&DYuPQnw#p8{g> z3+b3*g~;UjqQ#8n8l&L2g4#t?+szfaohPfjU#D}mt>Z}mfs`GWvu~wTWgXXSz3I&j zkA8-tzyPg0#e|oni>YoD_Z$RPou!>#etZeYPgbjnU%;t$a$N zVHiUe=gH)6J%;?|tX_HV$NIfQa)fzaUP2nh#bU~?%^hV!Cco*0r4C!lJ{fr=*xyz( zrLV{PV%9SD1pF=1pJ!0QhR)L{xX9 z^0T$xSQyVoEpUp~DfVCC4aHvq_1uC^H7Cj+1_nXEFPD}Rc7lubBr!*bpX?B6R$WlP z+yYcJk(PaBy>n7Y z{$5?`F5Mr;KS(D&-gg3lXnK(&7P?-8DOXmwV#E22?*MR7x=sDdv~ep2 z%`N3MrZOo6yIar&=uBpxX0CiYA7T5}YY~TGgmRc%za_#Isw41+7^TMU7$Aldl#})+ zPs-0Y##-u_eJ(nmeYteqoi86}l$Mrr0wJb-?d_;MZ{{uLLCiSkyCQc|UEV&rh0Cba zaQOB2@_r3}JQk-`tdRBN+0vba-iuk{ZwVahW%q4WMW>H`?;j+TKbw%v9$r#VUG;rZ zZqae1vX^@G%QIMBPkKkcE+#LV~f>$OaKP8Z@VSNYYQnZbFp94^#~BC}Kw<1!w^g2eT^Fr8Z? zO%SIrDni`3NA^+L+u(U~PPOM@^;HUGaiVkpF`z#zUC2cXA*&j0#JK(vJL6Se(ZLJp zk^;z``;(_8miq0FGdCu765qWy0}~eWZ4{v$d$WO;eQhQtq$Yy}v}JKNo2U%;J+ODa zz_%zxE}Z#i((Lz-{gs3ud3!dagl(xltRPP;dMLuOHXM}9L?`I#a@T}D<&LS+a;o~@ z0(P_oDw$lI&qj>Cs=p}s;&x* z+=72~f~ediaa-4$&1IceffU~$w91ks-6ZY9%fiXjQ?siIcBGwc7?QZB5T2n^Q}#XX zb$;M4)!Wat;$$%RS9=n0fyD>b9~FXo)d&W!yw&+3KqOaHgJQJ0vindwb1oHO;b zPT95jK^CR?&isaW0Xtic~tu12Rg=dACffWQ4n z<@zYq#zSQ3J|hh;u(*2JgYV0iV!~6B{Hv67DSnYn(OSsSOz11O-&Cx(g-BwxWc$Re5C6~^wet=YoSLo%=&KHyp`2njBVjJ6D7eF<;2$056IC_CMp~@#pS{SY9I>qhJWk( zHyi%L5m!=}m=C>u!lHg9JO2IW-)kMVw)x2Tzrp%ie6WD3{;+NhlKS zC9>Sb!ddZ>gIAKqn25Tp7xSKfCh#j++CurYAK~U)ymR`?#JG05nGVG^zF=l?AbwiR z4P~!ml6HMs_F8jKzJ2DKl2!?+_~Q7L2$6IH5C)r#MWw}8FhgP`lcw`l1Wd%mH7#7x zj#ZpBaq;grF6j{ZSmpuCmmIw;ff#$&ZjVHb`PFsV@I7Z9%VRnPOuPJ{nL}wm1xynT zvPw%Sfs5y33Y%UGM(g|o3iKat#{a92{Ns&|YvsCw8UUyh-^aKo=Am&?FZOH772c&8 zuj$89-&9aXNt@~xHXa3Nu|`lxoU~m@|{ruOX;ubbRiE?>H@_@0^w~XAq=9tA$WwFAcGw$Ve4nq?3oJPM`Sf?*ln# zwa3Pq&)hz>El9*JvU|?Qo9a*&agaNax`E5kAiJ!K;T5`X)}ll)hHYtYy%J+zMj{7O zvJ~HJpH6>YYA5gRs!a|v$QWI60K0V%?Onx1HjO20D^bWO@$x`zKj_ur8QEJyw znREpsk-$BCTc$T;Hf2*Q!~mgk#{->p)Efa#>C$h!;FplttzUAbADF(?CVW>(Z8WL* zjt}+_{wv=^Fi7m2idQmIg5@x1x75t{saek}5(L6^7^d3GV)NuU>teL*6TYMFO=ZgonC$M@h@oQ2X6 z8h$Tw1S}IUTP}krw5!6~O86klFcES}uI?~%7U?|JtrO7S^tX5Vq&@uyUOUy_+O}}2 ztSu}@wEb$PasXUjGJtM+K6;eN(t!&mt(mBVO{(C1Ro7=`|AH_4S4rUYe|5%x6l^x53*9({QC2Pm|q zRbwHs@lpd0-ia3~Oz9wRv~yUS^tu97FC@OxjXXPx$IKt$WxtpRSB}hzJ{`9wwA5KG zcSI`|ws@0j5KYwTRmalAj@TR*k}G$02~WSN7eT5f1* z>xBz4l302ghyCw|1+ zc4=@St2MrD#DSew4R*A$;bgBB4vY&|D{c62nzmZ^J5OnD?gIh8|Ij0tp+DVPv-=D} zmZPhainhj=x7FBQ7O{P8)cYXpUx~5aW3In?sJ{x+D2guZ+w!vhT5TNRm4LwW`Poswt^+rAvsBXdqI8UZx0+lNS{bEQpo?vR(VYIy zRG%OaIP9=&=*>)yAQ_1N{bciw!_ZSbD5ZSXcs9%o6`&DA-o8bx3}JIgLk(52iV*PY zjHTUbJkLp@yjBe@u~V(Oq=mikvOM=a=uWlCg=LCg7y2H=SJJ8UilT*jMCa2zz>g3gJP9bKE{f^tMC{~jOCDbpCkjZgP6}Oo72`6`}6>-r9_dTh7 z`dV5KQ3xQ$;@#+Kal!ljlrJaq`_O6o6I8< z3+LB?UoNH`Y79HP-M!=g+Qg-ZT-n!=;@37W@tN1ON`HP$qUzdOxHKV!PnNdiHR|tK zjYQ?WUy_H5_x$Q*bW6DDPoC-)^;@_bAf5Thuvv>h|I!S)OG0B6xtzF%>3~(Xe(8Ju z>)U0ugAri0teGU}@-Owgh*G@;iNEw-^nw8J@UZFEVp?|_N#(lKF*6I{{JIJ1FTGNc zWg5ug4B%V#SpiI@yz?z6>3tA&U-aOyC2P&6Eb0-Cb2_I_uwH1*qtML()5sKBy#fT; zreKH)R;lnSNz#2xs1=0kHw0*#l1P(AZ_)gs(!BR-O{Sl4lFvpnHQn!8GuabGDIoe~ z?=KJg!EqnP=AVlKbgrb`l}cgLOBQX5>_Z&K4akCOQ54duxzSIRjVY5@wG#f=Y*b>I z2opXGgPRKvzX^)YWv0MDBlvB061(w)%uSD^?6V>q0cDdljgA2&kyTRc%hVcp{nY*gW$I_7Eu251sQe3)i=iwVpT_N+pp_@`}J7q#tY8&BI@)sDs6wDo&n>g5O`X0aXIyEi zyX;t5kL(b(4qk-ttJJ*(K4DxrJ{Y9#*cjBmb-_$FKTA6+D^{bkb0g{VC>_@bL^6S8E~2PNenX&lN^|DWuuCCo z6!XmZa+bfivYK47xT56JYD<%a9A+I9^*v3$*)QA3!JjxL6n>)9>p->%G!~O^4X3UrVqskZ1t799!Dv1}081Di+z&z|_*M^q-}j)Ly}WI2F&8rBEP_Z} zV$3e6Hf*eD3-`MGF0+m!!TI81yk+VyYZsgI;iAnC(_;fl-4TgY6juW8%!?luH1AUi z2#I*8g#gE~DZ&lSw3#c07zDN!j-7l=ce(W59gUib;l0c5ht)9-ITN``+u@3BMR#Ep z70(D{)kf*~(#q^G4gQ!|&6S%84u7y^gy^Euhw$j7p zU#&DO!SG;llq|l^eoZ3z+{~$y|BZq3|NfMdXd8OTPF8P{D~Tf?1U+1Qg_IcN+n&oy ziCYbS>+rgQ3`T0S+qK^F@2mlv9+}+!tH?_ka+x}LbP<2+k!@`6?rX?LO_?bMv`gtDHkrHu|CdLYQnoQ35JfSlJ5lxG?Uszu=Vxp zhSCInVTA=B9h2)ga5naW5!2orTlk%M=U>e`Hv4QEqD{jJb$CjlCT-g7$Z@kz?*2vQ z{CASvOP4Y4Uhcs!fj^x>LN!JLQ3s%tEwh@QG~UA3ctNCKqx5%`cX=Hk~Yns zMvw}zwhDT#BdT2+hd}|* zE>}5`A8%OcZmsSL?-jomCP@gz_^I+^i;11tD3(*w*jTLlG24JqIsS9ix%>5wx$Hg*VZwpEcb!Ba09!cT z-!g+#l$(!_Vwt0}!3XudgorT3V5%!M$X+iUV&qep7wcr}_plAOnjw9zmzo*QGpnt_{)tOeGdA*EZBDevO&D9r7Ha|SS!CfUfH zeb@fxd?K`$$<*nSlbb)1jC&H0#w5iii19f`__AJ(iuxLHqXKBEsoUOQrM5Up8K7Tf ztY$tGar#XjN?kL{gB}rhq%(z{Ej>M8pGWnU;JCyiUnbTXlHwx(*Na-9$imJa9&swu z4jd?BCiIR(y3l(|aT9sKrJ~r&-qH5Lh#cW}G^5 z<}|86#UGvF@4{M)8;Le#uJ=|C1)a*d`%!X0Hjl64=2(0LN7{?ThINQj;Qnod1+&Ed zkr-Gz$;m=w{7A&hwLV|4qr(#~RN6ME1tgPMt*K63Q0S#X$gc*s2i2Y>Wv3S-89&Eg z#nxBd2Jx-i^m~9pBAX(jyVK4T=0sdy{FcTWxjlSfr&B(--WXG4$XN^bIrqd$7v#%Z zb8(d^0Ib^G=YlHVY&~1_lnJVTUNuxmQ?}11fhW^5`;(3arQ_h-rRuj_d9wVQ-Vwv) z1kC)nHN?y%>6s@0JMkyaqic2(h77JhuqXBQdp(%V)q$e48fX5lDRUsHUuM3`Ou)&v z0?S-}N^wlXriRUHe-=vh0ON zeZSlhfqF)W8wlMiTvk%h8$RypwW3Z$_dmT^%rRF7W$?B%%y)_DNAvBK}1XZYDE4}=#{M23`&z8Vk@ zrA72yyff&#ax7m#=`Bkz9%^OMQnWT%Av>JX8V8R&2$JKzYd!_Twv{S`MJqm>nI; z%cVmwB?Ha&cYur{g48aY+okOhlW+sxNjel)u#%eL7lxIQYN*A4itK7}b4Ophaocpk z{W}8p-IQOV?g?lg9Q&`R-u|U?{2%U4Sg}ULaewj@sdf_yVdCUcT?3^TtN>So%B#q& z2JSSjZIsKU_*dovBHZXCzZ#BR^|{d;`GIB!P=5ZK z4s3F@RD;eH@&*jTWgjP|2$+mSNm=Eo&i>}$<}z55hpg0!n?B5D0%yD2x2=-Gr*&^E zoR+Zq7@j?vrEY6x;uqhxlV^(bH%S-@)*vbp0#seuhY8*xr}}-Sicv-%KaZ@wgkhpL zlosL=uy5}2nDqOD!pTZC_K|D1@Z>oAKl9O%R}V3o~U;@19~4jp(k`xQ>KwxYMrN@v@FlW^bpqg~6oYrr1& zb+n6ZwUie8d~~r3e#iAqDp9jK^!K+}J)h*`yAvH}*LO?DoPpV=EW)5Eh z)p*(aglb$^);U?vQG6h9he&~THg18;7H9=|V=M299ue^<8Q<%zcU$Txs-BiCG-iUt z2FHMa)r6!a2X{htBL+L@xNXi`DAN~s-#w>_{DOjWxSa(>qiN~2oOrEhuJ6(%#P*1g zs^#6BRCLRtwj{)R*QyyB7QXch!VHcY{oX5-T4~AdF@pq`_toe8SjUkaT3vhig5@Z+ zSf6ec$kcM@ONO#g-gkmYtnh5NU2j@p{MC`*fZcoX0BCGiB!axvF&{y|5j>}|QK2pb z#f6y&eq_(1?c1v7)Yfc$1(Y>q)d~Y#FrcKP1m%)pq;%~I5?8(I=7(d_!$YI+;!5Ks z*{VgC+KO0*Y$Fk+Nm9-T@*D3*^1}E}<0=ts&^va~yNRVb#?PyJ5oxmgVm-P$a6mw3 zd-HiBeSNx-# zgq=K8d*x-nT>K?;og^xD3OhTy7rHO_mxM>6&0BRY27*Kg)mR+LY)SBBrez$uXn?r^bICn^SxeZTQf z+zy+*^Rx^d7YW-9oy8He#scZ(J*$f{IftKOo`Yu?<_>&sk16`}b*fmOqty<8wl0`z zrf;_k=`p*}(2nf%JAr+6=B;nNmmme+@9ut>or*aQtTqVaVn{Ti;IMz0BJ%Kv!lBhD zTO!T?yjx;7EX*bsvUPbPOGb=CZ-y*E*zLm5`^Fj*J4droc?0c|E|eZ} z0*+ah27S2R8|FznFX~~`RO6~#eAJ#EFNqg0|4tr4`=;KqGfd1I)otW=K9*_34E#GDSwiXtWrV9I`r1NQFryL;dW(6~lus7s^o^}2FT zhijyt4&9Q@ZF;ZmpsYVl99`dPZJo0Z%th{4e(2GAyoOOBaR!fj~k+ z(BQ${U4y$cbkjHqgy8O)K!D%`NaIeh2AUv^OK^uEP2=tw+{x`TbMAT0%$z&-&i$VA z-9NMcbk*KnRcqH?wRcsmwchtDFR(Fk5bTpRrsk2Gwe#qMt#XlFLEJr4-iFi%m4u$l zmgx9cKi;lMAjr}G?$hS~93qNz%DYX5gVF3I0&No93I-Eb(kPrvE&29?fa3FeH}D{F_#yEI^6UsBeSEVU;a&p{%6zwj9*Opn&Af(cMS&#A)}6h!ZkGjr&`Gs>-?l= zzzqMe=o(8#a>tdUXtUVZ5&*g5mYaoyj52(*Mo7V~c(9(WDa#NUZ z85d!cAxfDsftvzUxPC=uVb9Y`|x z-deC0nmtR4xe=|MnWGYjsjJQX@X?1}KO7NAo~fI*e#4S=RBDkZCqpz0R$`o;?`00o zLv&}{ynSlYTI$7OW3%({b6lsJ$RVb9_ByRNMqbml{7kaitWH)?!7-w8D6+njTQx(f zG-V?B=l4&HC%YPmpu4kYc?GT7i)o0Ph9Z*PgOM2On;3YZn_;)->sEP~nf#zHgch?y za;WW1w^8BDZasweK{+0X0(}rIJm|{MNLH{*k}QE}Rc7t`kEyQiH=n?IM42Z`KQYKxJtR+7%&=jbxL)fW=rgygld5_eH zT+XkWXySfB(U_c&v)zW5a`4M9f`qKS&V{xWgArQ7J92=ZNX|f{Z4x_}tWbaJp=<3(94yfc`fvg()j|^z^O&*m*lDAY%YF{SMd(Uua*%_8zLR?dh z`?b;h$C_rVH0T?}dhRvPE^ahLwVd+Sw%R#Pts)4=2u7|gy88A4NKG7P4Ll4LbbXN} zf>`|!S6#2fuI;6l^kkFj`G$*(uHMiF@C3W{#MH1$3q|DIJ%z1}!)UD%c5BI(N?2ki zGNDMJ-vwi1A*ZlpA~P2zM9x+Nd7rDa0NouM39wWHFeEpbhBu=oU6!S5NS_9~0}GQ< zF1VObY}i_%jg3`gswvWW67iW!N@W!$ zSjh;C@#J&m_L5juhaR`v*9Kcn~VIz z#)J}90EvP*!&6OB&_Kpmy}ZJi>$kyx#@Gc7EJ-X5f#2$)jUR!r+nw1ft{* zxfAE0*&|gmT7Nq&I|pMo#f^^D4}t_co5f{4(q)*q$HkW~dt3FIoMwD&O%#kQRmt^t zXt=ApaR;{O7fVA;sSgID-FJp2y?XBJXZ@V_BTCqJO4(Ra2AW&}vgJhvCP~Niq(_pJ zQAkO`1Ue{<#%|1y%3Twkw6G0EKdwq8A|RXy!X0!rY~BJQFE^VtAQe43ACU$)5GT|r z0cRbIfk;x(L^)R|2%1{Od*I8SaSmER-F+UL>mwD9IflIPMy@zOWumRx!h`6c$2o2s zgmt?Ay_@l+JJ6iT=ZeiuUe3LADw%3*iqLw24NlS`)<>ah;_YZ4s2~Qd3nse%mY77{ zj3|aiL|Zx5ZMVpHltr5SoP1$YybRJmsBv!{)u3T~Vltju5D@T8s$W+~H7BBkF@h_Q?B-z|4XiQA9; z{E!i&?;Qi3q4V^KtQp=LFAgSee8&elO6t=ik}wo%Y7k)>gTv|r+BYvGtzX-i>} znB+S%$3`V_tE4Ix!}8=O{h5o-(Ms>UT^o*9F?uWE-GpF<+D`D>0+OVap|+M|TX+(#`C_VZ>C0Ud;LG%J)_P z7G=^_+G^{UIeuDlBzW9Q39}SqpPzEI6%z6=MLAbStc;3KscDte8Mcl&CTak87Q|(o z!&>uTo2V7iJZ}Aa02~|&&H{mx00BgtZ~ppm!?dt|XPCLY;FoWT>^uNY#<+@0ost!= zU%z`%9j`f*TJptgKDpeq0BW4KK`hFpdu#hwu5V1Rt7+7%xSfSDuOpR22X8-yIo0|C&*(CCL*kn=kF| z(NkhbOY-$$O1+pNQrP14Neu9Z&Sd9YsyTQ>dS(GJtEQ>J@saZfF=6f z7k^jf-;&al&77bU_4wBQA-9WMJJX)(Ihddz&eRoM1I0DmH;EOY^NN_5z#ClgGxkDx z`5y0=vpClUG*;lmRB+?0cM^|9z`?Fzit07AjNEsm)kJ+v@I__=gVn%ZQgV*F*{fpec1Z#J8D56WWdE;6^eVcRhZLA`K+KL}dT z*>{_i9N))5OlN&<$;eU%1W5!y@+X^7ry!0`e-r+nns_pDkHky#wxRd?TDuYUvvC^k<>?aBy~E@S;Ia`W#*!X8K#RP!utwYfIorZft2XsDzP z55JgXtKcqLIhHDwa$b@Ycd2n~O_@9^kGb%JEY47Yr2`-@2Ac8W7;T~6XJ&HSzyGDa zol-^R8bxtEjRcO#xXH#kUw1Z+foR%=*Zo@Ow6HGj$9;<^=c=t+vxnj#@i z`mt^wQL4R@*$s_Em{E?+=PL|lp1I2RtYok>t0F!S6ZT3y`O1!05J2NFZGNZufx_+QH(J2gTB}B?R{hsMgrX+I06ex zu|$14mTPy0tF;(@C~yQ4d*bObMdtPDZ**HxxR#1lq9B^{^5{XXC6J9l%IrEZBc+3p z9LK^&RAg-B;*57wqY_`97k#{MHP_0hVxeyZNSmDr(n4yuM~&RY%PcH8gPhge@ALB# zfM80f*=b&)>97Y105X5_+}FJPOi01*b#Skw(N-pL)JVbhC0lg_NEfI6bo-0s90Ji8 zXHkc1;NYs=t>9v0|J<)_fZkHx9$b_xQ7DOa>FMN;6mMNMgYhU4kHAj%PZ?~XJ>NCf zr|v|M*`Q<2uFmR*=tgS?VV^paB<5PbGB|J?ey5ql%o`r(l~92Sev-6J33aVv^CplU_#kiQbEpm@WeIO(jkc$-fgK3O z=G9K0Z7ePnvAy>fYMfcMW8Kt)n{-mR^-Mtp2U4}9GM@%6PqZ01LWMd6yi68RVUF-Kw6x4+_b_?QsFAgW{204Wq4_Bf3ke81q)w^Rwz?AU^=HkiU zJ{frEp#W3uIFM=xB^NdFeAK&C^a%7<;E%l5rQkzL&e(1(U&K^YOz<3tPv+4ioFUb; z%x#Q@(sttmAS2#qDLyoRiA_EOl-p3fx;>F)M{mx?&G`OGsZuPTI zCa*sR#&kG~(~R{GlZLwWyJe|U&FwKQf*g3R}T6VA&C^3q`MIhe4GctqIlw$ktK5elSb3v+$8o$!2z0A-@Y&(Ka#IvvLKa9;0)~%#|K_}=cdyc zIw|c0^i|v1?#>!QN^WI+*$l7e7at;itWwN>PM87Ja~H*_ zP5s>UWgjSdPBbQJSSoyYoM%Z|7|8zVhHTvHIaupEY*u63i^kFz#_CuC795GEp$9ju z^fG8N7_U#(v3r#z*xaNmhBn`qKo2?eIgbq|THW4*w>pskT%xT? z_L;`*J?Hy1zrs?AZ0P~b4r#!T+|*ngTS!qM2f_lnaU$Jc+VkvC2k3*CH{1G=UMgv+ z;rS(`VLK`Vz48-kl-!|Ao_ZAu>a3*u4VX{o(uZRX3@qvv*|y)S5FQmZAtV9a-(x%- z-Lek4Tq_zI3(En`1|7Ez4>WJs(gOP(C#LGlYi;#(w7Xz#ug#(PpaB$h#x=BL#>}S9 zm@!iI23NI&&bVhM*A5YtaRSmb9<@euPNjF(REdwG<~x*1ITy`fiWOZ=cx8y}xhO?J zRssOJX>903zciDLkBB>sXSWj)HH?bi*Njc(36gBkDMNP%X?{d~Q{U?Bjd=O4=*ZPX zoX2jDYJ|Dhxf)dAJ45U@)UO>kIB{8jH5Q0Hl{Aa1W`ap1v}=uWz%b`yF}D?rw)Lia zeOh?510BRnZ*U8X*BkSJ1GE85ewHg-iyj_dCJsH%b-#;C>U2mjzKko37#TG# zC1h?sp79Rs%~@6#u8(`N)A8lUKX_676HcM?8f=)3bA}$;%BER@Voaf}p#D40ZGdhoK1ySyxl{Obp<)U!0bQwxOm9KW{YwW=AGJQA z;=20PYE{*wxM%xV5ZbanmCVL=?FTJ|++Z%*iAk0SL8gB695rzyK~~K2tFO?FnaPio zY+2#u_!YkpQ+?b70I>xC)^HUEVMoQ9laQN&l2vE#L>B+q-g^U-x|F})u(h175ns>} zysUCb3@AJ9j}U;t-|H(FnAiYqeFOF%&^)Fb^l~Q2lb)%4L>$#FrmKI`x_yKd6ovh= z!JwNy1KO;X0kueQ6+$||(jQa-`mfX&+-p44VPPMXOT>WinIp(OXt!bDnk~0>7Bt^MUusqfLkYRTeWROkUp9xK-lh@j-!mK%M#m_xS}9 z$bp2m*_Rxd#>xkZ2`2&gp!FcrR^yoH_}6&4FdKz`z~6LT;jA;UYro!6sIXyNO~YxnMM*+eaeH00KmYq~N{5w{-DuL& zz^o=mkE%`;+aCv^jYtJ0Jo6$4(55H>fy7un2(&fq3tfNi_lbh7H938qb;hx;> zI=|x=k{WqwNyTA2roW+J8kupY`y}#l%D7OubbfKo5Yc{dt*-3XQZ_Q=C2G^k)dCj^ zg*G>qzuQcpYRs86JZtB|^Kq_u~`OD&^BncYM# zb;~JUch@v$>ECa=BXwciFJe==#jA=rWGkWk5c~EZxwbB?T(CQFE_53Z5S`He-%`{t$pc@3b&7miVG}|pm;a(8U!Fj5I>hU$rc(H1ZjxD z2H5-%a8z6s8PTlajaaiECrDyOy~vABoOP>Tb3IA$<0It}u9SiI3DVWIi}Y!`Mgbm_ z5!r#b*8mV{XZp8(>-+ezG%bLxnZq}DT*E|ZlBgBb@AnoR+_+>6j1Sz}+Qj0Cwzr^? zsh_L1JG^>DwE(e3MMJbIHSL5bXhm3w@UhI$uUn8I+bA97JDMmH*;lc7&@dubPHJJUL*1V96yIbBC27S+C?FvhpBSpK6dZol84 z{Wa44FBhM{9*h}lZSX9C9y$~9gNWyWS6St*-HSypnM5mo7QDnqWUy9y9K}a&_JY(8 z4K{A3P9JFa8Xo1flh@_JdCxFX{#_I31xMm}HrGq)x`E}yI zYv7-iRTr=$xILETvwzlB~lOeBLGcJnOq<}(c-t7`tphsTc@B$ z|Nh4Rtz3_kW-Bv{X#C9k2Ttxu14=DWWFrY1+@Ca5^kv|bPD47on}v6q z2|%;E;}6=AVe^A^s4Ik54cEPs@C2jg-`0tSmU$6+h_pO6^NlRO0QQhlUd_ezV!g=p z47Y8vgzM0)T~~3dpZUF+7f1)C=?x(*B3N=2dbR4qfL%LJVTo18{6UM|kBu_c&K))q zIsSd+|D=4fgBfOed?PJvr16!0-f#Z?n9n{KjakK#Sf=ti4ydW0Su!ORS(b* zp2N0Xiu8ZbQlTrlsLj^wWV84xYnpq7iHy?+&cdy&o5G;Xe{B(a^;}`A@BVMiYu?H! z$M3h6g48!56z6`rSKnk^W;u!H*rD+y5LCqPVDnpw!lXZFh;&6=ynW}B5tqZ)iM)+A zh6kdy?*7v?@$5bp$&X&q{;k4)Y65f6J_9koFs8Ty;vzz!%RS6Aw>?Tz6KaPQEjUaR zCMb#S8L5cTRX^#tBGA2UeFOaYpNeCXKBfsVQY)hev%A<8C$iovvR6LzBP-9jp|hNf z95E}dMtL73$un5m1k_VoJe;6S)|oPnb(L{tWp$If9mu9o=w;6)oY%U@CVqH{5{lm2bs&7iRJr7ub+ShM(nLG_wl=<29vWFn zG--wf2?d4;pn&6u*KKQRdp_{4Pt@78^YsTz)g(Z4kFy^W$k^iO{Jh z<(A7~m0;k@FqJird-P1%q3XNp~8TiqrCi;xqR=hyTF;lXih&? zFIyk-^*zp(;x<#p-fpzIU`FaW$8Vae9}d(@k&CtP{;LK}_^}a6 z;Ox#TbO%hCB!`>A_&f4+RlRC5%?B*2(S-6cT_i3xH;|RfSbF5Z_MUKP%3e6msB8 zvI6uU@K3aO&yWjq=`s6ctPPXqklaIy1N5eMST~IO84DpJW`c+5i8fL$ztV2qtljm} z@@gGQ?TXW#iei&WAmxgKXqL#X0rnk*OG;J<=##zCNxWG$-iuD=fmr{E9sd+S>Y?nCHLP7>2@ zttoG>J%CKc0YL`&v6;nLPVwb;kT+dy z2c5oy6!clwqFC2DCbgFR-mqEnv^y>jn*(8-A|o@SvVZoY395}OSkFz&-e#rLXwmyh&ln>8MdAruMsv$R z_V}~Ffw;glJ7NjC(#-HS1|JcqX( z8xOc&nluhAZ<0ve4tHtjGY1bhhWr2@s{)>)UC$}+OP;83nGHU(De=47k}oXIXK7ysVYX%&C*4D2F<6VSS$*cQpgs*G1im+|1P0x^Rq9`#aQ}i16B0qWC z3r7V!OlY}PBb2~0+KViOarucNX5GZkeR^2(!-RVAC@3A&QM-k7%z2dm6pelfJ=6Eo zFkFo7&?+o6FBJPd5(!eV92aJ`F`|qt`FS9&9kaEXC@h_ZuKQn9V>AYn66(p>bt@9a zgbn5Cx!c1d0+%xdvv?DRmb~d}PG2@5XL=f_HTeT$FC+L58m0TAn#G8$9F$LzLvbjA ztH^$=?7&uXEI%=VyoFd7hPMA-72yBo|4hO_@I~|wdbdNH-DL6QYF=Cy&dKd@ z1kG1kaT#3HS}ph*>-oiY&}B}aEJM??xWH4wIkk_32aR0s*mg%iFR0#xl{~J#DJ(4_ zGQ3&0ubE@x+lVMkB3_e1H#dLm!fVuweGksrOE`Z>C?2a~2~>wuA%j{7o|)BDXDhuO zN0zMmPo+!cd@rxCBOo?<$(|P5alX0kC@~-Env}=ZXl={-{rwO1t^`rC2D`M9Z$LA~ zH{xha3pwWjnz3`X15p@5Dt}4J4H2u@Czz~KmImBbmlpw4OZ{x zmXJJ=QSDx~g-6!=SGckj1v3Y)F&$UH-Hl9glWJGM2EO%@I=ISP%PO`-!1b&(0D-8b zb4FrOFuDvT$=ZkcbdqS;6j;MgQgVt73P7FB1Yt}5eqZrq1^KN{1&=(KYU}4O!z3AtED92q>DG$mjTqD8SMfX@z-?1GIGo^;9D=B8w-Dxd@-R zLa*q2R?uc;B*u)s-j+`_4nz0dcA^#-x$8J3)rS*AZB9eu6POtlE6g}Yq=tZg(4pnLkV<0@SZ4!2aJ0vlKm)y&*^HH~GB3 zQ4217UX)1>*|XUaEARFfyB@cK!oLy={_phtzj|HDwb_xewa!;y_(NVyV<0;{j6fL@ z2lT=~@lt0*hDUUj48Gb8Ab-p43Cy~5YLZhQhzw8LH87yd6MXBJ1~au81{g+09Ai9c zYOmX#|AWSM0L`*KH(Pe`JU%$pTJJh$yEb^1pY$MC#4r(tfWzx2J&Q74|+Z zt}gVa(wV9l13gQf1j6<-aQ~qF{&lKhQ8S!%gOpv^6|_Ue*h}m*`Pl0Kt)x=0Q2Z%yWYWJT=|+|ChrH|1`$v|tbnmU;5ktf zD0jnE)O`WZB>IDZ8~^bNu#Y0&I946*8nv4Fe11K<$*KlS-bi67k6W5Oi5%&=q5n-k z+oZsc!fHkW##(0N4;>R$2w?=2&}@nK&u*0R3A5>sW0i^)?b^nS)4(VAFp|1yc+&TX z?UJslLCU_P#OY$6?0mQ-U&GYBpW*rTlpdg)j?O<`^kx|shxymsC0C+^aJjH)DoD#Z zKS9`^uh-(YYF9tAqy}eSijA zSqxL4taRI7Fy|YYBq_je5k$krw?}a0%@bqph0)kIi|x5g2ixoM27&{&UilE{%=TuC zF12;}fd8n!cw&CR>dK@5r``$B50Y||hhB$a_{DUGHKROA$B=S;v*f^jAdtC`)1+{t z*Xv_Vlk|fD^+z85Pu(9`$_BdqxTY>ErES;@Law)#-5f5)H{{ha@xgh1a?;IDze)^M zd{3lW06rhpd_|zSsYV%D+zTJbbZ+>|HjOmFFc74msTO)Bt(%78oX_(92jk)i*Un_= zM`&b2mmQ=e~8%WKLB>*vch*XTx!2g>RLyzoHL~Vq|NYt#8V7=~`EurfI#n@D~Np-Y~loRbk!! zZA&XmJO*#msbPtin1DJ3B!AhQj2EjmjEf>`Dy-ep-NoA%ZkcVIK$cU?)_D3dnpr3b zf3L7Dq})H%G^Ir7#y3dIOeobmA*S{q9#|lC^~lCFeEiMISN+g4J?IAElW#y{I^Qi9 zG}T%RmHD%cHr=VE?Q|uVrbTAxVO3-aNx-7b3dFZ4?&27K>H&DcIh z7A6K8iX|74S2kr65(D@a>#r;WKfg{Zq#5_T2J9RPb7hV{v-I#4bWT7tW!R6ng=i6- z_<9Gy?G?Y4Oc*MhU)EabnlT!Mj)0k4D}15wsE0^VA9n?}=24RE-I=61 zBh=u1Qr#ES)F$8D4VkFltddO~0N?{ff5`t~2#HlMt$%yTewg_7TP5f1HMmry4tQN8TC=%=|2`FYt3c-b(bHyFJtKPti8PlyYTd7URP6&tC1Hk_8QScTdWVsIFWQ{Te9 zv>Zs?j;zM5)|JtHbgI7@LyfrRrlmia@0ypiv!1y15-+NiVYhQ4C5{-f zcx}G%T9ZLx)vt2mWVI)L)=YL#CI84U3x#>(RZ?~fNYvF4vsCrg-%u1RkIQ3Y0!N5G zFpt*|5qWOwvEk~onuFOPUwfhzK)dyF7-a$;TxDg|jXNN~zTRvtSSVJ4o(Yn=42JQQ ztKToZkZfe@r_LDHOPAmqWM|n)eeT0{dkECDZQ~dC2LtJ!aSBV`wD?PG71F8PRjev3 zDOXp-;GNygo%RJ9FKeN_@&>SKj$j}KbfJ9xfSphzZ(~>p1=^HyG;B5ldAaYHI?yH) z9cpw$2k(-q*_xn@@lkPMy2*Vzo=EF2yu+TUwGEUFrYu!$jK8m5H+&KhoTYk<+KS2l z)*l<0pd6X4L@6y#&MV?q`u_LtygV;ZbzQ~H<^x(*;h0SluhG-Gde;m{vTbzUb)jh> zzb)t27AloM1aL%)?6Asew}IvDlSYRCc@b4kud=u1mWGIO`|!wCsZ+i-LETCLsgmz2 z9!)NeyJs7nCMuZwz3t?P(V;vvW{yfnOaA)&DNozU)zN1f*-aZ8NfOI@VY}mfi#Oc` zFrG87s;NP|2N7SkKt=|1$v`-QD1+6g&g%=4H+rEbbcOda(t}LiEAg>vFs*{@ zbA?Bro|GB+l@yyRABaN&A~H@7zSW$ooqz?O>z2t+>}{c-M!`{s==fZc^=#Wt z7fj(%*fT{$gCXB;%mtO2ogeaUZc9;8k{H%eH2f?t4T@Vl$0#tS+Dj)&*_$5^@a3iz z|MV|Pjni{LztEbl7#`8Nh-7=`^9N0F=z)x5-Oj~ucX5ouLVff;33s+=E15BlCjCv- zzZUvSNiuf)#43Hq%cx9f=(lsEPl7Ls;;589QOd3#Wi-?x2Jz?oL}q+5rduaZJ(H<=b$%F z^wg*uCeKO+34p^??`^j3=|QOZ-?+41e*=+bHwmm7+!K*~98+Srf4Y(K-H9#)ZH#qK zmEXY%n%H0UlN_-Rsz1iVM@4L^S55$*Uy=S16x;QIRuwrphc;99iyqa`tfQ zi)O8TSet2wNY<|qvif+ZIwQepc3ux^i;H|U$5b;R+-+;fJvGoPT6|^?dIo+M!2j*x zaeGKRbn)U;X^FvrtI-h&VB0#6!T`+QRJ?rWHdss{?MH(v-fV??W?c9LztWf6#ssaW zR=9x^9_vZEXv=OAYNn|gams%}SjZS+h%hAPv z&vJb?#m=gVoh76gX$c}nHn^x@^1KFNcG>R|GNkNy9fTe2mYl8(ErR>I=**vceQ-yfQw2wncL#>3Dfe> z{v{zHLCdXZWAAS1iu$#G=WZ!)Y2ozVl9pTD($UJ@nwFoBkDpdb3iq$Yy)%YQ%;M(j zpM6}?;vL0;h9@YiyaBuwZWwlpLOZxMIr{X-X6)=1qu|4SnZq+lIymcL@bIC}YBp=a z>PMfI)$383pg#kG#(@tvXW2!bp|r-_{8R62^tJ%+;8-sNe z_~9ZiqUZ-8yOEd2Gi@szc=|5yeSIm9@6Ozal|oEE=c`*|l6V z7v^^n6|FC8^i}x8N4zKCW$uTML+lqA&0mA_pBBs;ES^s}2d(3<{{hFoV5J5&wk5}2 zYKu8yn4g$A8leZZNuMH_9~wBHczV;W9t(f*61n{pz2KmO$c zIe>YE1mN0w{2)#b4qhu?+ryUw-J)Aa}^EU zKQN!@xNj3%3!@K~M0EP94MFDBb&o@j+4~MVeesEySTsVDdCSM{{m_!MGWR3wiQYZz z_%-ri;L5xmS_)-RvA8UmNLMRQrEuXZHvAXN zMqnL@=9#g5^XUd`L9pDqKeKi!j}BExR_W_JJox&P8P6BYo=0KN+Of<|re41DU#qJy zYIW4WU=-uKW6GtKq<0W1@W#eL);-Sl_r>{Em2gYIrkmOsB6vW1CiViuEjjVeE4J7d zdyjRr+Xph}z8DY!DPKQreru^nvl=42O)K#=Xa3VTb|9cdM`A8vLQn`Ko8f(dMMNJuSMH##Cl@RCmx~c{-HoGEQu}Qq50`Z);=WxczkS;>z z+IbGJo#VV)7gI)pEmua!I2A+AsrmG0cO=|?er~nf_s5w@yU0dAr82(6<~sFP9Q`4* zBOIJ>!VPLKE5D1&w_+Zzyd~uM=qA{1LZ6f9^yR%?KI!~v@P6kit%za%-BogZMDk1g$tUZS3d6I;#yP6|>|(NHWJbYJ zPYy3Q6iY;8`+Qla>=V!)YOt{T(|!s!kS*zxthw06~-U z1K?@7?A-RKPHTuUqSe|!@8^cLmbic+&gW&^#?^OfU0myX%SI*c(QX_QD zPruw)d_Aop=C!(GGU0|bpx(?`hbY0@=L`%gxT~69q2gQ5wRsYLzD|1eWlV;wOJ$qo zrm25|hZq}217Q`^S9v~DRdT`bhRi#@nq8z|20O%L*s4^4mdM$Yn1(fYhGN-^<$69` z>+boBUwV-wv}dx)83%9sePnT|LR!}IbHpu$Y%Q7c4rrgw%Q=4y$eJFZ3fW(q2w+?c zrILM7*~A6nry;uUoeAD|(f`S>2mAaB4?CcAHKb{y`W;H^UAy$)lgBXDeQAwMLG+HF z^dreWdzvb{IF(M14?b7NBro~!sGP4mezpEmu<$!@b{NKeQpJ>TgWo|bdJ*tMn4ggZ zRSSyjL>-dmH^=k*2OfyXL>e&ivP8!W@B{iw= z!{QF4`ALSpi#~5>I=(D-?#~F2I1}$XNpYM8St05_71Kl}=zO3NMjVVpmTJ0hwh@0A z!xP^++Rfea=*W25l&5bq+rPnn_tx~8gYu#PHHXYyaV29zIPnC)d5!Wd{gLhPTNQIg zoEA#+=n-AP0@;^cu(0l(StjMcmWr-5#x;Ag-mzM zcw)H?^W9r&*Pn#yTNRigO4Y1u_pBc6PB-uSsu(WJ%Mz)XYMroiNuOPJsJ^lfuvtd}lxb}gGUwMto+g#+kU>ITh=r+mxPDLNcU%Cf;JT(nOmsvl$bFGAx`@qA`Oz}T%sAV2Y}F8m)fSjyJDj|i3H6Y8|wVu-bG|D;s<&m$gA1;h-4Z;3yI9}~0TwTS5#{hZHV^eEK` z2aqz`21F9ItNMJYcIf6ud|tx<6~-?oN0(9$RpZ&8FycNH_Njj2!3j1|+St&X{)BH{ z7SO_N7acO8Q>vTc)|RNE+CAwK3kMVW6nn^epa$iyF^6|8_(YW39(kDB@zNy>wj-ZJp%f`aX>o&Gof*!66VSd5 z;FYhW_Pkh)sod9`fO>|%!X;35|4Ve*Kv!PT!O@mDVqHwGmf z4zQ*45l)pCk3C7vm8k8z#nD$y%s~7Ps?DJpdp7v;6UGggxm$MP!vHyCXM-Y^*3Soi!uyv17eXTJry}iJq*Y!^RS>@30bDVd}c9ze|tV>Jq zUhJ-Ut$LKS6-fHiGwUT}oEJIO@KOOhzvh*AvC3?3XuUEOG@!qKJjPzBpvyfL?@lZ1EC*=fJ|RDPJK0pXk0X z1S2`kz=JoT{EYL>_D0)Nq?Uam?!^m<7_nW(Loo~K|#_hp-d)m9|&T}$knC*)= z`3C~uFpufA*11L*p2Mm>r|<-!=4(FdKJ@DniRA7tEHqO7OjO|MM?^-9kQr)<0QK?J^M_g(H1Hb%=1Igf?YB#5brbQ3cbxt8f0_`iHu(S7^9g^ z_CUifgO&Op*Eb^nXX_hYS^+*$A(6i=cldZk1%>|2dS}1I$DgQsO4=XV*ywkm&Q#6( z?gL+H*&A79oFHYf7j$GnKhkpIf8f@?z{G4O4euk@eq%|Xz4{o3O)fa)hxNQyJC=fs zf`W@&gQsw%+oOeF$?9kHtbQXcO3K5L$G~n(En7 zmL!(8*wfRvMG0TM7#W%x0X0KZ zk3nQAnm;|fj}+x;UK6~WCgh>q8~u4F@cnW;*qLIACdiv?jF=ZKKDeI5MT1C=pu6u> z%$%Z@H?l5&yy9)uose-*0J`}zbAeSU7tFFAKf8iQWSxVR6&urGG!vH=*BIkM`k^}> z-fam;5bG8VI(X$iHl-CzNq|4-6%=jxX-9~o&f?GIZ(e$h_J^WMo0Y_rk)O4G9VP z58NH%7xJ@DZjT5vg^N#nd@`~LW6h{0%svm+T*)q{Ts61KHOGi}w@-6*n~=UE|Au3N zIA3!UqVpZE%M~7A?Al@sqvE!C~zm^mAxMR*aLt&Lm|I0O{d~T%8n`y-q zx{MydX{&AfN8CGUOUmgY zC9Dz2Di{yOb&G5qTnsnG%DZ|49c zUzX89urJmx#pUH){I0|a`u0{m#lRYW@LGtzGrGp<#^N2_I}QF>`V`3G_~l8;gQ)}& z{+j>cil6L5(0lsk1EN8S7x3_>8cYU74ejgHytw^9*<}$8OsCstS;bl!x$$j%W>p9M%)Hpin_Lzd0R|ywvu?UyeMBx!yr(jP`{+g+{u-1}1r(X}+0NOO-( zM5~?YwgS1i3-0V!E=(kioU>O&4sElg>*D5nTqybvD5Y;__smqem(5~o*XR-WZO`cQ zZ^fwMWBNLTE))*>OmkWN$uGC-#&1_zTmjw{zZJbJ+&_9(`&(QI+JL?3N5t>%QfFUQ zTKY@FvkQzh?~LuGmAZ*iUcjyP5RKDqzOk{|2WZOGE`Kz_c&87I?u<8XwWq^x@i!t4 zhOLZNm@XL0x+;5d#OXHdS)Q&7#V>@}a44mZ9PNcdcJE*BXFF4<^`7Z{r=ZnKSP|O6 z8X*ic;=E;ZjZ2Q8f5*9NLSlp)7b%__TtS?TJ&f1Zj-EY19EOCXsLT{ z(%;4^V($r-SZ4=f)kW9JAJ{P)=L3KZ{O8RN*oSd6+Pz_&FUQCq;1T*a`f&iamMUyR2s;&XH`WeT2Va;EaPj zz|I(q`9(ERI(_k5v}}%a4sB65>ABuLVW1}K%4h$!KF;ND#QQXRzj=!vXfD|OW7b+o zlX5s&r|!_=luZwjFM=D9=wpnJ1f`$zl2%GQf)7#Vc71Ue0u1(c+PSB{0kmD;BWKp? zFNNMz{=jm#e{}PFsnb6VD=IXo*o*mi=*8FPr)BitpD^dDUztdLB#9F11MkfoQ~n_t zFkn2X`zbPowid!um>G^Jj_YF)WAHK&@Af)bC^Sn~vj?$}Acy_vnv;QXW~&ChNL;lq*@jWNTs0 zw6b!acT9dz0kouLq?@re69l)*>_c=;1kEjov}C0rhw@LubcB*QWWLdprQw4X#*d>Jm^nOlaJ~vA5uj)kcb2~r0-Pm9 z!*1#bV4LBar63=v@LQ=yP5oAR&bIgW+Tg|~a&H=HC?`%uXHS!1dmjfan#8%}o2YB# zMr6z|+od{h!qrZ_sX11^*2j7PPu)ajPq%LGy~rLnuoUTh&)J=3^yz=fFJk>{6N!#I zO&1;6`jTrV$dQ*#$ug%x$s)J>vx-7Q+w*6T2dT3$EfSXtyq|a~dwWx}cU`d8+1qW} z;oCcAMg90tZO7+6b~Y-?J_ZB^ZF)1?BijC^#7pi9D^%s!Yw@E#LmRFZB1<3 znb>x6l9|{zv2EMQBssBd+qUj}b?g4TRb5Z_+rM|!+q>7^Ydt|*-$WFES$0J^o7{{NYubb^+nz6#JUAh~NFEY=5>n^(<3-#i5J}qKRcm<%*&%A_@M2s3w%C); zr=d%~OQ17&(_jj%$F zSqD#C-{>QYVn)XsueP9D!EMeK7|%AAKWBc^K#1@e2;40z-S-8Os(%&jE4Y4U{fsZb?y(A$bBZ40?A62c>;iA+)D%$C7EX~)%WXRE-g6~d>&72 zi;HJ#-g*1hZTo%v**XNxvs}l1By_%s-NAgM&>XjftO@H<_I6c6@8s1DdE-hF)B=ei zBR%~Wj(F>k=sVWO3$IpZGmC*$4$Xu%fSQMIH7nl!XYGqrIz)XrKDtLujFwqjyk$*v ze>K&=84^bGF9O{4q@rWPJq_g!ji(;OTeH_CGq_;)>Jgu0G6whiWqPbiL+hcw_#wGLmhO=MZL z)Q9E?7SlI%O>7(J-^b*LOBh16&EW}^9mK-?L!*z|6JoHx;+nS;!l6(1nltk^>@_3) z@4#z}^>0GGw9nr*1D}*Ndjg4!Xyihq9m&?CR(;^-`!Q1CI2`HZ0&$oL+`K7$;Tx1X zEc&;MkWOFNu2IGV+mzrv{ytrku_thtGUSO7M};{e>5T9?3u!BI{=^Fk6En^K!@MFz zNQ?}Ww~Qnt)yXIGHYP?+klaRimms8wjh`@uUy&iCS{ru1h4cdQ2XoR%xdWkRVS4&W zR3ww*_4lpnGx$Z*!{Sq=*j7Z1!_q)#@c4mDQuuYiE>og9R6cEm#{`QJm%?CvMFV1_ z-5>%Ka>iXsCSZybzcg`pA%s_2C%FG#?Ps)ZQo>ydL7Eeju1Vv8>N zfh$>6$kwFHH$u8HFPt?mzQLYNIW4yO$GbFzu|zOktUV6@luF-<6>%CPU1rXbcOo~< z6;_`%eL(BA!7}wO*y)MOR7ridtL~B#o zW>a-P9{GL#bPXFAGc{-~SDbS^^7;gJjcgh_Gvq8WcTE(z^v(?XHty(h#foPQ8Bo`usR{{cDz22$LB;jDD+IRbN;FXz z)tJ@j<;&olf&V>{cDAS)6F(X#Y-uV?#+IEqBR7UFjXfGLJxOa+ruGqS$zIu!^DP=; zHl_}1Z0WKBSP^@U^yeG@hmDGxW}fMX%TBIWpUBHg?tSGccWF?Q6O} zmj8A6kU^Jdt6AT3ccjD}(u0%z(%buqO@6}X@pA3!+=XGP=XzI`spt08rl#lSP}iZy zWt+J3ZS#!?|Mj|{pUB^N|F|Rv;J4z@dCq6>NWk)tclx~aeNT=96%d5MY0 z$9QPZueFE`xP0+y(|2h%wQ#$fXJI1~VBnyLJ6F8*5+FcB-`!v=>9=nf)Ha@Ap)3%t znX@*PHU+1cuB+*3T*Z?sL#oH{@A1p>+!VrvmoQXPBDgnJJ{fQe*tBj+f7(rlTg-Hn zmZwlr}E-PrM*~0Vf0A1OUl6}`SdaXwDFXHl4kw;dVqg!Z1of9Nt^?c){ zO7;vaTwUYR@?HbAcP~&ZuPby_$M#Hl>X*dSs+J5D5~>P^_Lx&S-IH1e@V-7SOADKT zgrPP*f!)F>JwdU=)R3<+gjn=MdNVELn@1Jr^DI)YQ4g`O0X-hfl&V$WfO-1>m7Ls4 z_q{BT1;khZx2m_gCx8k~(DYOj2j;vfOzK`Elz<>)jMRr~Qd)-E&{Z zjflSC5joS;;sV1Xv@W!!p6Aj~O;XY_^zH0VD>r=__b&WkEzbGKu9@H@OyPQzj{DoR zYi;pEuFb8K6g9<1=%&wq#zt-l6;4*`Zo=5CYfIbibQ3!!+m~lmcp5uTP?5VINd>ZK z?mBt)!{-tyyKefvC8|SNhs$9`9_hyUuz@#ypy#`%`9z(plF^XN6#5;q+b79j81Er( zCvr@`OjQp*oGpUWLH8l+=Q8qPO#DzfR+`caReg)g-Z373C4}5attYzlN9(TL%ZUxSjE7LFPFPuvD9*a+lN<>mr9 zAJe>NfMECEv2+aVz%-+a?Fgw&c#~bcpChA{2(#9HB}E@GcHBLxYko)kK21a%UMaZu zD*@?=cVEWikFDM4K9J!bLhFP?ZHAolhMb2X04ncXGib!{E<~DoNgYN9oT`5|I=Pj}1c}WSpr2zciXxC~!?wVj;Z7{#7fHAFuuw8e z(s0688j1Os+@BQxI_u>Fw2 zF}UJ&=fMdAmHYbJ3{OUr<4#Ow-Wpdh!-p{&v_ida_x`^4as1nrepal|Wcm+h`JNiC z$v98bvLUJl6Pj&YOiSd<+@!D$X)B3A6=y*&#)*Z5sE&j}ox(90_W~_T0IGAm^*_~U zXm5j#np;I&YqoUZ?uNmNdCmE;;Ep&D(`Lb#A(YCzCpVBDGH0Y#qKd`BtPTu$z#DQv zr^N_8W4?lPefBrvFMmKVWbIxTUa(j#2?HiC`!YX+Pq5E|_0zRRZI1zBdUYl{t~?LJ zfKDFPCSv~5R={>wm95-KHpBpp2(Bp^HJlq^@!<{A47^)vdB0hCe^J?gLwWvBkl&+9 zX9LEWDQt^qG&8yeDd(^BUkT07iAD|SQVL^MKlB37DSmB6){|+S+Rvvy4`(7Kb2p_o z%ovT*tTM?33d2MM=4>829?GrInU<=aEFVW5A#fn-KtxQUYQvj0-f=85k;4$ENt2hO z!Tj)HRwS0V{?_~VjyMGgkVCk8CW~y8J;zmWe?bkP66t5SHzIq?XP9G%7`opX8d^2P={}`D?w=>N&PjP9`UdgNde! z;|8{|(?iGiA!4ybT9QPhnEUJ+<)QtV0l|XnaZ`BOE45Q+R>gEDG6QKfkckST<|KS^ z8#F!*+lZcgUUyv?T?6LcTw&Dw>$R>p26w%(%04J?#uWOie{TZ^?6|1}nc$i{t29*? zJgbyde{0^obd=1iq3Xi~qF}70My7_Aim!tq)U=R&hCK5b$LO#Uut2b&Pe>v<&lCTL zQhbhK<-q??@UQ5a`so;ByTWf77r;jP3q^NFu}IsgM5;>i@UXABk_Ue@z;ydGHTd zJe|Wk>i~n|U55?`JjyfUm#E|?~ z7dzvN#+VE{MNO{L;M4ozXd{u137hhi*5ivM2M#-zN5o#qjH!&-j0H)F;scL^V2JsxwV@Yllz{p2m!gHFzA#Kz z;OFmk859}??5bod>(BM5jh#93?E7nLap}>8Wh8DWKnq-zA0YDlBPrQELnGCC`}S@J&^cragY#$ac1^6`CZS-fm-^E<~!EXIm@qR1tvM1n6~73(VU4l>qd> za6>!w=yp}Z7u7nk7Lr#-+7dqt?6+a1`Am%U2&r&W|_idp8DTMEP-ZQsI(L8M~su?EF!7?X&Qwr~=W8U#loVvPxvSMiuWCrK!PaF-IMIhSuw-V!sRkyFbO7 zEk$6X<3nJByEyVL^d5DUC5_uLp87`WpR06n#Yd=2py$3OW>M=NwbbEq8Ficxl6cBu zLgmcs?durg?`q7>o3GdK35Si0mRk5F8kodNx}iYSPhoE6cF#LLEkir zqSb0d394hB)E}EOY`mRx9Mx_d6)aDn2N$-E4~?v8n^mp)*F>))@zULf0bx>S^mYeNTpO%K>n@?>nO|!8 z0fb2p=7?2zoY`C?_~xRhBfVWhMgydskjYDz!C~p4AgE!893(AOp1FI5Xg&xhT#X@T z6e0M*h~n9IgC(4Q;9v7O#WPM5sb6v3kGcQEs~j`}U{{j-Ko~w}#(zZ*abx|(vx3si z@J^ry_Vl-Z$71;Xn)9CzlEI%XC&-)~mQ-Yjx=%-J!J!!c!mMn*PP-+2ecx?yjy&ggRvR`ff*K`1gTrk*C%hdu2eE7+fr(qR0~!?5U;s zbN}^G9C*?-4F4aKQF4Y#qUUW1Ets<~Sx+d{iXCMbRER3H*RZKyiXXoq*TLEHkeYk( zKq8|9{kvt?TYXeY1G~hCL+r5KWL~D<08u!7U41!!o2C(Jc6?tH;X9J;P-_q|gNa~z ze&;=~iAg@j9}VE&TsHIdAqVGxL&TK8%a{^BvO!-49qqV1e}5M_3O*7R!j2H=kwXhl zDa-{46*BUsOk!?^PI9l&;$I*vLkjD~3)Esg7TCu8WqD55lICxVh$5*NW}5#wGy~BG z{VU}fjO^Sgv4seny5Qs*`&?sdmVlVFIY)kU#FRC-k^(u-gk8$Nu!jY)BO%Mg&RFJH z>sZgz=vfn?bi1!0 zi5=)CB2*+aZ3v|-h1L$M8XOvwJ57#{(f!LjxsSJj(G~@7q_35gXE$kA$*H1M-&dHQ z0#jzM5cfpatGn~(orbQ&ngt1rJOY$xbZH0feBqih8ggHM=~IUz;^xor?m#j@=n^N) zb&#Zr(>hdPVq!elKCUwXj^bg$z&>GpjP1XhzY<4i?(ejF%WPIG1TvCyH?Cm%a##5s z*A~ctEI%paxdd+K&}}T%U`7%D2Z4XGW$4K%7mdcw6z9(G>uyJpXASP~ibErUWdwJ= zjvTvR9m^fN3%e7~ceT%%%cR|O%@I{-N$V;Bi4^k5%`%3gz;$`Xl$24T;jj7mI7r|h zvL}P*{M2?wWcS>)(xeG8sybp+(Fp8JFUsnErFG1&AX1W`GvnPSCtJ=_W2a126|Fd- zIAR}?*iM8ya@yRbp%`HZ9+}uWtP@PfFg0|nHPUs`Zec(zLDh^*d+a4e*DoxFLb78C zu;C@oeL(c9Ap9j67tpFUE0bJc7$2y3*!z8+5rG0em-(I9OicDQLG?G;Z@y*j)=!}x zRVpY)jBa>=;i&T~%bav0#Oh=8YaTEBNi>#sG950ksu{~h)-mNREbta@_13Aw9Y5DR zs5WWdZynDbCHuZ*SRDiky+qept=~DyxpZdH^Q6y(AJw^q)?q!cFIkr(-t0;B!ubwu z=7ArnM{Q@0C1=yg``guwq4CT}hq(*7m`EX9Ay)`fNQ!^B;-BbGq$Yvh>!|X>$}lxM zQ*h6z^!)(O1OM!!p0}VuVR&D~O!A-3jzXCj4(OT@YaspIhTSz4ti(s~<=?m;6xIz$ z7R*03gRgeD@_U<{ge8XuZrq3JH2=yssw^V|!tkser;76kt_ZS5*lo=OWgN&Zk3L$t z6!NlKk+OsPgV4TDTGBt(^tz8KO%~KXn(OuQNs}c- z_p%TKJ1DLGa?>N2VN8reU0!r>M2zYuY!~MFVko?}Z&ZWG6Z3>W$dVhM&LEGMjIY7< zD1}AK`}rQBbc~IQeW^eN`*srrYvLu4ZE)iVl@?JD*6Y>yCmJE3a~cI-bl+v}i=q<+ zjOK-CyBEe%2czQ)vVVh?G5lxy1C!&U;xHNM^sb(3+cA1Q3<5fsoGzHLH&`m4m{IR- zYs424Ud&YWH||fQZID-+1sI47tpmb!`s;TU=Y^nET?o^SfDuy05VflS#iGP}jZu%>ahk@&S&a3hpbIj>!g$ zc&GUqc2(7v==uFUJBpg;` z7TZy(;VFsH?slU0wU4`J(C^|*h;zoprX2OH1vMr&uBoDdnI_liNr{pf~T^B|I7*~`I?gnI> z4O;f!t3_mvL9ZSTBh`x)h1(M|b6|NH7*Ns}_LpYMAS~6|>-2~2@LW&a;IwAmT;3HK*~&O{ zEpv=%fN@Non5=$dCL9`3_^_n$J{d{TKAAMiEe=s+%sw6Q^oxP=ZXgR7IwUL18m~|` ztzaD3At(NlwBwI9CVXW0QdzYGbFKbT9lN65T#z}yaXRDJG{NlvX}@Wz+z>oEC_Ej{ zbqkI~^IwWkqu8`nliVWOE)g>bi{92wRo+S}r-BlPRg=Uqr)U&eb|yS2-eJWWeQ zmAIQhI@6z}%IkAFl3jK~N=b{kg+sZ8dw<~xc4}4F*#hO*Cq|z`vVO^(HCPoj#&rMQ z*sVjXpRrv-!fRTo{QQ>%rm)up4Kw{bk6WgZucEDUiUh%O?I30O&=x}3u6JYRp~0Kt zQGKa5*VcSDI{A>ru{pVtWo2Ol#Oicfabm9yXS6w=H?w?Ov=ucgOZTR9IsKi`%A?-V zkE$D|&XMGA2X4Ew8Ug~xbD!NlEOfWf1Dk9?qeD$3CZ3F>4e^&1I})!`6IRb;DuHOy zrdY8bsvwumj|I6;j9e`;FmX|E3^0LS^V2ZCaJ?5)*RWzUS*>SA%R}uuXz$&IrDhF0 zS@%wDMypSsFFB3Nrp^V_(mq03sczceBsZ?b%ul<$_8t{Etf_q{?h8x14o87II(1b% z?6NkcxXW+t2==0vqCBw@JQ=+ZcZtij9#_G4?l+dskRX z$Ks0gSoWC|C?<=oDjvgPF)|v5!03tx)EcHWNkH9?#3G(Gxe2}G&7Q;qFX7t>t|Z4_ z+~@9*6ST7LLqw?JOrsXD^{ug#f~o*XRkxCNScpsfJIl2<@{75iqbEFdEzh}W1I0)m zG!+AwEYG78zO$iZGtXuCDlf{hu#^_NHGy8^-DFc91NR*{sMJ{mQY2og6y3OF3ICr( zGVu%X@cE?4kxjcS%J*Rl#?hWKrcI=IL2$WweM6r1P+$)YP}BIHBzQ>1F})0xW5vUe zC7ZYc=@E_^mJ0Ub9LEtM?mW`yPniq@PW<2g5~Any^^7DT}*UTN|Aw?pb^K;*qit3 z2Y%Z$MuO0fnb$(v`d0~L)rIhMHc4Gy99PO=}Yfxp=tf$wv3%Z(= zmNAFf*prs~p!e?!C9~`=v|h=bVtxTKddT)4cNrGys7=F_klkVL*n?xdyG5AfjQ7fG z3haSdK~Gc3aBn)Y&xBP7IVg&`UuY0F*R`y^RjnEgleLo|b}>#XV^fQAmt^;1@oXHv zvF0#_1$qv8R)$9UCdN7jCweDFXFOB*>e@APC*2K&4BePB)A@GZkpKa=(3szom3OC* z1Y2F3=VBCfymgdyoOOcAxBtST3&RiRy@^>Y@#`0w^fptOp9V^ck-5t*_i5dzDh!}{ z)AHoU#3Pfosw%LIC${gv>vY-=9nlXJfwXV?{9gUD5-K7;LJmV7L(@fFq*EN+FW*n+ zEV6kdgt(ili%A+JkCUfgry=raOrg@AC8_a|T0Z?^#{PT-?`|n~wBei6f=SypbMgh# zi8}{A=SeZtffbBE-W-0rEXAH){u90ONuCEQ zxgD_#9H2+@o~PzGy*UbUUiV&&z6Yd!vMdcJJR~5lHR{Sed~Ou?>ty{C(UGF{mnPJ# zX`|yg%<%`oY>No*twil+IpelJZxt)MCY!B|<$gP~1*yYNWG7xU0si}g?53N@ zI@@vdODrI98Zh%XolY2jl;W%sbbtgUbwlZH>_Q?mly!Ma34>lZ~H^WXy0DeFX>iMTtk81(v zhlEzRH-*+beP&fm^k>aCe(Wt4a#tzXBm&8MWRF-^6ZXk_CWpgJf>fQnTuQh{Fpemx z+%EI>NC>OqdiA%%scy0=!SBh|=^s3NTpGefMx{BlrB7Kntx0fi(N))>%q6r+5UTEu zY6H0wxh1O$$l#Ap?+w(B#zHjCA&Y#9PB%(>r%uLoop9EQ z&9kdV`3dt{%n4h#;Yf>RAH0A{gg4<`N`&7F?khrC1IRIu(Z;(rjdt1sWul7y9yehe ztAVcT9WWEX#-gBjsX|ZbK3>XfJ-&mx`+yt^%Z0q!Hl|CNL&Lh2fb=VsNPMasp)XyI zm^bZQ3oY(AnWODqX25s z>vlvIMv(#cHhb*EbwKvhP3Z)UTC68O_N^~x)Vv@vqLD{1bUl{e*9ZQ;9^rE%CN-$s zmaA~WXk%JsD?ygmrQ%Yg(JjH(*E!h9>7Hd52#9>ad6R6eDPjX|S~BPEQGVZTgzj4M z{3|B+E~TilJGNJ`7o7gQ>UkPH6;l)I5N>e;3BaqNTU*#g)AKFpVB-F({>9+zeP^Vw zab8}5{x6JzqOL+0Yl^)g{57Fxk`q z3E@zLO{>bAzRIaA!|(d_yw0X~$|@l==I94~8)iI$!AeonU6K7q>^`DHvN{OKolIp1 ze06(N^lC3C|6nE_o+;!J|2h+w*1$n=+{~bJSckn5nrpf71Z8@3>qG(DZ|*biZGyO) zw!7MA;TqOA_e1L`Z^!3Zr($E05VhruknP+ode>lME@UaSnN1e0!PTH2+P$V#xMelh zOL$7mL6y5hdDbfaka`K$=-L=XrVFyNRGL>B!{wg0!k}hOrv7}vvI;jk`2;Zp|Bp7* zpA!~ej&Bs>#9_Q$l?zh1+CNyT3oNq{d7}_!1Pg#>R$;~ArgXeDjfOpjBWPiN8=#qD zpP2VKn?qf(omvZi74SA#r%lWdEimr&Xg$Ey#RLrO{)X@G{P%;f{6mx^ z8Onp{vzaw!>~UBuh=d|23Rys|UXTL1QGOpDh`NgHP2tLZPgCttdF?{SJ7yuP-Qyx3 zFLX$WG@!nSUU92LAGw5RPUdFY(W<>!4N&tB@+Fpqe>fWk^m#i~bsG5O_|bW(O}B^3 zE0EDRQ{a#=VVr_^t2k5c6U$_e%(UCl+?y_SHo%+pS|aRb7yf;tW5H`8cvjt!VmIA2 zYOq9LS|4Lg(M4}bE`ZKXic*d#T!9M7B<_I31~j;WLM3xV)kIuUDp)uL^LXsGAg7p2 zrc1hM?NHw1g!35|{IvThsY^^gdATWz#npr`{ElS^L&a4>#o|Q?45%Ew2}_AIImLb# zli!*zQ{7o)3>ss*jeW;G6_x;EAe_~}x(%&|Om9vTu1Y+G&W=dhZLG=d>xF$OT7C3y z)lXJpJ(GkYs8NpE%Lk-ddKhAEG3EJhhrQfs*>P!>ouwzjR^zGsC|ciZU+P-f!2c?L zYw`>v$FXxCF;h&MwM(i_b4A^t7hvcj#mOG~Se8RiaA^m*vs2QgWw|6I6|#4>qPdii zw@}XQ&x-lcnq?R}*GQ4aSt=kB4$(j)||P zr^fk&EwA_hzD8l#!%9XLFFC5Sf}Uhs9(%pT;#fd7hOMdgC@eGaeg9^i$RNrFkaLPm z^%r8o2==Smbp~sH$Ph=7fvga<9rY1)ax`emlqU9p{V{4R@@i}}%FGF{ca?Cpbc#UP zh_!v#BTYK0!Fa;mB?#?`lryM5_s5mFM3sahZB=ZT;9 z31kMh(tb#}mkL#+>Hu1d4@j#3`v5?Ii%Ni2uyPD8Siw0xHcBo?#m>o7DKi%K2l~=` z2$o1*^P!CgZSwkOL&c?@WB;@GZg#WkQ?NqNCrlO`z}fCVBK>ALH(h?sL+JjS5J|}1 zdZf~n{&g^GD{1kpid7Ca+X(B3;1JJRQ*t#&r?KC_OZs%e~$*6qcM!mna(HuJhxYV$Ii3bV+rmHL0NnPsn7Ib$btKWwVYD&kXG zdH2iNDLI!~Lz$=Z#_8+4m1=3JwUFDZ#TeEDAG3EDYD6hJ6Q7C4hS4Rqi22<&ZtAB_ zoi#DOUK-o1|Bj5$QL)YJ@#?$bKh#~gnjbpZ+qNy{$*IRuWc`a z4kD$qTWm%jpxc7dyI>piO%g08 zW~vB`!ISa!ti>qRG%PIJ2EA@WB~BC?7}+^H^K7Wm%3T>!m8ITarF4VRv*a7(vSPZ0 zQcPYV(+MQCuAq8q>ZuWlVAOhn`Y*aN zNt4p?rB3wWLJX3fRhz=oGk;uGUAYe&0jqy(yPzzPP|mMJD&UnnsV##zL)n|79!%B4@SI;Xa}L*ZD&n1h zaQQql*C+v+GdR-{7+(iKFOD$Oo!VeEl}&a+egDHj@5`(;g2n;LYe4?I{&uAKAfOwJ9P)XEw^5_M4mD_XgANg7&9Sn50RB>)+0rqy9JJ?cGxJBST zW+tqj*Uekk4W;iI|Fwp`$xur|IoO%$vw@$N?94F?S_OD`*&^RM+rU1aa}h~Qf+ zSatlcg0>pv2%wzE#N?w*9^^zV#+G-YndgN&y# zgf#Ib$#5;whYG&W0|bqx%Gj70iM_(;m2a8&$3|XQom*d}EjE#bG(Xli0%J-L?2tA# zJPFSbB>*A4sn+#;wpmfo2$Pv3dS5vj4~FV4s+HxW(cYp##b#pjTSQ^gjBW9DxlIEV zp|8~O8F-3vv{aP(RE+jr^6u@0QLcc9wGsK4#RKOKO;-~A>2Dk~yvOP14XyQ4YuR(k zXC{JH_I#UuYE4-&g3)~0;f%GS07B)zBsSw0L(6IloC9+83UKRjf+Q{~$sk46P)m0$k?_ED$5@?lrM1-}gVEgua!Z zU>G9;*s&V<7tNx9Kpav2!rx5EZCob=Z#XZ3mS!`Ilyecw;dP`W?31`Q;pml#AjcUB zxO$C}hE-ShZ(WSy1?rt6ZG4U7l@vM{lyIBbiJ2X<+E;}fld1}@4GG-6>BcQ(#jt0K zYr`~nb#3_Ks5c5-9Bj9y=|Y&?=o4j}**>jQ%Ed?vp|ho6q&mKrkgmC$YD;<#QVE_w zTf=()`$6IGJoL?8&BV=F4p;El&l;|W3hnxQJIsrEGgE_+S#dj|-9lymtAUBfpjQmT zkhF>1gQ*J>H}QyOJz1M3VY|r3+((P5;~5Q`BdfEzpBUsgVwBs`MWu>oP^JHxEgD7I zNomwkez{ZkzTV-i*wMogf5bckb(pzeK^T>%jrrTyoDUh3;);ErNYzobG0l-+FQ!fe z9eac%$2F9{--lHJiw5AddfdrvGzqE!g{sJ!N{Y{Q>cn8KyX;M@1dmn?ex91Isc4oX zeU03y<&w;grj-#3r2HpL{3eLS3D*ICjRti`*cUMz1;^$GVRopIjG}6wd3) z-^5!bL3P>~0Jk!#SpUqfAcNw%! zI=)oSCB8Cb>Om?5gX>zaD1e-58xt)bttcfWy^xnbkg7^wH#*#Ts>KSmP#m62%X0ag z2!f=~0RAjTmjX||fGaF4bS5eXBUX2#YZT9<-Edql)8bI4k-#7Ys=BBq5o+$67ZFN* z@T-SOKNKz&!^NG-PbeUk)T?Q(Y6f2jE8fTJfIcy^d(rWc)7VriSmD3cOHbLr*dwtz z%Q`s{h}g;5CN1_zVnq>s@!j3_inF&`{V#Xg#IzP!f62R_^e;_#awB>;8=r1xqw|F- zxKf{L@YksxL&|DDJlF2@heo$oo9gRQ5Ih(i_4$o022nF?FxQ)$1iY>3I8A6ct%cZ_ zhssB&#<+l@=jG;WDJ2}6#wpT)saNCqg}C)vE2fDE-U7TiiG|C;9Hu|}mWO-TOnCtj zy`TddcHGG|HVB?zs-=NPxup4?)W%^>%O_m`77nwzl;yuW%ww_J?SRiYwi)`cvCSGC zpZmw=GC&?4LQ1&iH)9w$0ZNP*c0ZdI~DCYvO`Eg*XO)~;Veo#sgkX;Z6M zO0q_}Bq+L8lXq#>ZI*XJM>OFPMSzrWfsi#5MNw)CcS4ulVYa0Zi=ze`gp*!03m%P9 zVV$?xD{g95-}KX6b7%=P=b3=a2**wOOBaObAavH4FpfSApR;coMC4jX%eWLU2cCf` z+Pt6m7pi16uDv<>i?}Us$-k58UYFpey6qr&p~9if3{hT3p|E|rLg&lTJdh3p8-CY$Pd4at@Y9Ln#vf$kHNQ$2o*DlY zwzU1(#w^lw_Hc-;>Jx;UVb3~Hm^xv7oY%uHLEu=Af;H>=ZkVt3K)zeT7puY)#{azL ziMQk$7E;Fl{Oqzg7B>G-HZl zy7?;TaPR_|-QLR+op#^&8;N1on+Anll~f}ot6C=UBuX&7Y_L^+*VSp`r(tf- zOWKRtOU2cb)1!+jbWP_RoEZiWfQzpUPfBSSE+noPuIj~_CR=H1&fx(+iZ=3tkU}S# zNQTI&NZ_U1;$g{slFWl9&i8@DS8u8j>5(#;mk@qK1C?wcChcTb)UhGfJ}BJ$WD^g(ik4FLP5|SmPXovyx zzAD6;0M&%B5~#T04qOd+>k%5#Z!8rK_Nh8-lQA5y%GST*mCrE>D%s^7G;6JVG(!U} zcrk3}^Y#ypc%K`%LeZp#;G6OGu1jOvQcYTQg?+I0C=V`cGumbMOhc!tpWoZuDS8vm z>Jp|{G)~HIlD=y|TK;sRJcM3<$I00J+h5d4HgYLd&kKwp@Le%CzLfDr5%{eZp-wtf zvluqya9BxMtzG3=T8ccMAENSMjUZ(IY*Rq{(4{=zd$=-}Hd7E58Wa=oI5ZURX-?}` zUOu18%Jbfyzn~joJbN8LcfDtC@~79DdV2&K!r$foLjeFL_Vp-5v06RMN9sCwqa`D+ zv4wh*aN4IS_O8f#cjUSPvTHLtpLhYQ_;=_Gw>k2=eV`&L4EBp8P-mf7%ZL-nuBqhy zxErz~r*j#;ZVaNYnZVUuv;lp%y(R69l_#-#(#*(6)lyR-9^F2 zCgxm*;c|#J+S>}qtWHJnwo7J&XO_SV=1UKavr-@#_=RInS8rc`SqrzYsB5U)zzFa-? zTpwY?Y^82?8kb+=VEo~w|4%TufBXTk7CBX)WbyBLeFX1%jNuE*D8h$F%*aVY`I44c z>IHOEQhtx=`m(g789icbgb~$-%Ra!v9O9_s0#&0zRqX@etbW1Xbr78pG|I6&- zZX=1gcIZ=@%f2JMm0vXCbt7F^FC!$j&2J4?hsc=_Z_8ymYOfF~3y@ht2uP0SRup(I zTn4dwXjG3gq4iikL+O9@M@ua*_n%$HcVweVPusBb1`?XkSfj4%v@pW*o)|9RITmE8 z%>H|w;zfDTa6EyC%bN-&d>zPL2#h&#(_ttQ>uYTOEflGi32W8nT`-ht_wKLL(Y(xE z&gSdx;eMfiWslEyQ;m*`R2U6Wx8&}~=nm!Nm&Tb(C>5Ou2vPKI1&RF)h+jt{G_qDcu8QqH%MdrKWX*~h}hdxd6E zyf6n@q~hk1xsDYBJ8D7TdIqjcY=h{H4{&HD{5br1q`J*CP=6lxCUPXQOyZU0vGq~w z{bql!Y-qNoVX3L&reb7CRdepD)}ygy*MVY7x-0234p0g}>Dhb`zU`m?eiHwD5c|cQ zwa&wlckxH`_IAi&S{<%t_7_oq1*8CJHgKzQ^xJV-NT4?xcg-e3cJ^kh1GK|qGZV?y zW06FIWWBQQ!4iNSXM`1ru|XTzw^*AV*aadBOrv9xbRP~T+|uy-Z!#$I39< ze26O4qOK3sq8Xm1P09rbEEx{V1@J88Aw0>I)E(d^?xgZlT+90-|8r5LAH5ete!@t_ z|9CdsZ`PuBw*P1wUNr2%Sh;<-iN83Ts_uE3rI3nCm>GM1dBU5q5aNaB0qXZC>IUGS z#LDTNuHnVr@4;m%I;0&F4%?<3_lbfd-+)<0<|jA=uRylA>J(FD97orG1}zc|T)plV zw1yf{bl|_7xEf9+k6XGk?X3+p3pEOa#4=d|Hra#~IbQ8oIO+(tHWUYo?*h?dXV2#I zPD~T4^W&)v9>ghE?is#Q%~y2UX{se{aDB}X<2yYL*GyclJ=Jn;y?y=G{^Ci z^H#P>aod?p{Dz4)_jkCxKY0R?`LC8@U4r*XHVm#rSyorm<4?N+Y@&>bEEt~VY81R` zr1-AW!Kl;{?(4l9ov1PE;{tIf_YS_4v%JU?h=sLifORLBg=7=A1S z%AaX|9BJDQwA$p!0ZF`Kh70}SdPNQ2=A?OR??unh*dadqp-W(n;oTr%w66VexGNKA zu$gwJk`mVvN!;*6tlr>uhl*iMkV$aPk!!gQeXV#%HBEGCHQ7Xqb$%%8)r8!Ru~VA& z1)vho>HR*B>UGUHd&=%b?~w~{*Q=Y*Y{|L3$7Rj6Xh8C2&y6`UtY%;Nuh{W>IP9c4 zxQuALziaAVEWDwTH|UM#lWc6T)8cn|v-r~?IkauDm2XapX7SiNUIgmWe)QhWz2wKE z@q}l+Eju5GBlLEx(7ZqUiCVK(*7=_y_9_vZL|ghZWn8A~4e0(L`+qLva_LP149#xR zRa#J&p4_Cq>6y2?Y2c}+Y8Zbb4Y)Gz)qhr)bnn*HGjHAj$+cv{JAUzACEvX4TzeDC z7rdok2v6^uhieuD;;rGFE?#+Dh7sJs6&H0YIt{~ zyFS5HN+ybWe{7F^(wwm^_mP&4!tRw4Fx~nqpwH54tJOE!dc!pH}SWwvwMg3 zwfk2cU(cPc1HE&MRvo=cmk(f$H-~>(O8QzVYlU>oL(;+HSRdW*u>5uO(l5l_!AJ$t zny$h~y40rPN0Uwj?;}584v-*F6??tB?FcT-#5GtR)Exi{7~^pr-CZ)S1{N8gDyk^L+ohqnPut=)Lyj8gl~k4YI5elm5Z2w9>`!r_ceEnR7f6@nUuELsND` zyM78GenpOg5;NTC%mdEbnp8n`f~6+@=s<)Q93w!Kx3&^V%p8;PSFZ&p{Bvnwe-OtZ zINk&Cf@p`Ba6F~?r@_&VZJaiT%J-O91?nanal4pBvkJ|h$e{x@?B$SpqNfsop)o5G zlUr&PS9kVEdv$$3ygPla2nhD!q#@cQHWJm1o7K^CH!##KyP^X( zK!<*j*e-pWV$%FKDSiC=x=fL+-nw@I6(h?jpY6Gii8#Pix&Ah+PiisQa)LtH*8CJy zoDd1D z)1TIS&GC?M%MnL)5tn5DW39FKMDfZ3N59&0&p&2Hd*aFsGo;K9h~5k^EAnK zq1^uAS5xLmZnhItnakD*t9SJeee}EvE3??3Ofkl?@&DyI)G04wp@og@$>H$3LW@eX zJ(JxU-QZj|rI$Tc`-+!RWEoR@@`-v3StRt#W}AO{hab0f)$R>_x2#-DaQCUoPv%s{Od(J;hk%1fDh;dM|ys>|f8xC+Bnc*X5=FofFW++TgZRlL&{8)3h9-l=gJ zC?1rh$kh3_z*$prh3Vd^sI6yb^ex$yXrDsPCaUu2Cav-{r{PD#PHn=Ee>1ka#i8F+ zfXlkKN2wMhtoM0;vb+AUefV^0vt*s{oy}wVmwf)erqPmzyFu?i;3$ebEux6jmGFJZMQYNvn%qveFOb%?a zO`NHaXm`}^EPdOp{9XQRqx~>W*#?%Ydd^~41}Jc^>~B6Y&p3TCV7Sjfk(*t_Lvjx7 zHq4!p%=D6C($>|rrI|B56>HT<1Py77EB(Bq$g6N0a(1UIZ8m5(5A|`%A2jQ~!S3P? zja8s=u30o_ zazPbtE=~N-Tu9k|A~cQ3O-j?|E2xfuyb(32aSJn<;4a>2Xps3ly(ydZIAUYsBK`Nq zb@evNWWV+Inmu1%(>9zMefeM-7}ASYlzcLoiC2~AAGrT`uL$U5<1IhMgMA-&x-yT-gW%&-COxP>Z@n*h}ypq51%jI$j(_Z z#1WV_o+=;7>quy$jtgCfZztd?w2GLtHp2?AAn;!Lilh zzm17~iT{oMK_^@rDY2#FM>Ph0A?xwVJ8u`mpmRzXf?KB!;fDMm9<6NKf5k|yuK00v z;Y|a7g=s<@=x~=ChdP5tC`^V0Ol37M+IWm-)OPlTTogOC*>RMS>FVmZ*pAw*L~+svg^H)E5@)05C50my6rBYpu6{h1is}8 z@^!NEG6QDNNYn|6iAlBBrYKo{<-wa0dts~5{C==biYXqo|~BXC6dwPoI31g`zu=1W13UnBX#+%Kw+ZCvt>Z!%QJ zvWOH?+d&bvh1&j)cpc>0AjYGFK_<+bgTKXwyq(1?rnibH84t~32BVFR4$dOg)PSa@ zf7FRD;$BR5?34xFKLy=W8(~2^j=?IOw+U;6jjNc>#Fr7jv_hLV{euG#iq|9V?z*0A zW&K8#L~Bx*@+7Qh7@|ZSDUI5M9vDx>1Sg$l&!)P5kJ+F$_5IhLuh~mE=Y+J??XyXK zue$~1l9=IcaT{hHKfU2%PTgwl?9okj1$F)_iJ)}Z@BbSPEAsybhouz|_}_b97^SE= zh4SKs-{z0%og4GwnI(-~Cu zCd6DnrM1u2Teh{drL5K=5QCM%WT4=qoyL^6kuE-&gZ^7_^%>nZst|E&&S#fJ*LnfJ zg^EqpL>agh@uIrPLZ7a_Sar?7^A`=@ADUl?R5g!9I|cWe4x_`3|CFbVdc!(gv%6y^ z7V)<8&0CT~i>3rq9Ey2}c9Q)tG746-kV|H}{V8ROxFQ5s5vDO} zfRsc+{E%_ctRz|c$A;=1reL0zOb4wJJ&eAPgOtlzjuoyqpH|F!#F2_7C$HCD_)o6w zBf-`4G%{$B!J5g{Y_-_`6%+y9|G%L8PhzjI5U=3>LiP#^@$vEgAIM%@|9M>?52))6 zm#vg&(JoStjNXmxM;zxU?;iI6srd(Yb7;LF#8%ZjI~&4hY5PIHe+Unst#8zlEVC{u zE{E>F)AU<-9F{_EWSH>;ct>v&g{XO8}A1+oy7+G+k1G z+vvGL$>~cL=Rl7frHzbw>Zw>xPX zn@{%muh@x_3zuI4+^@BmS6_9^&qQt)2C02d9xy|3v-&$G7+T+)!n`)TKiqTqZd7|e zr-CixpRtXyUby(Wh1<^iq=2sjkyg*Ss~BZ+3t5;!Z(gVIS_4p@F^&8{+wr&pt+%>v zXBxr+=l=-8;D@~$=r=+DZ0yH1qB(>Is>;Vk*g(!?%o|~J34<^%I-Vi23p9)ke5)#t zt>VYNG=rh0u~_A#?5M-SYsEIXBU&}K=>3sg5Lw?H1wRED$TehpqP3fBDU+KY2*=+^ z!t#EPCD3=Pl@~*>7f_zQT!k!VaPyvS$#;Y*nO|*o6m2T09u@5=* z-E03)c;(paMq$-zAUMR@@WbC43-=>FX^>XHf!g*^n#%Z>u|-V?qMGd$J$oi(m|Zuc zt(W=}if$3e!y}yK%45;oXF0?CsrTQSNn<@H? zmoPE4?jPvz%P0JG=ZHpGU6Q7RM04rv8q`-tD?=KsZMB;DnT*P%)Bw?LAly@@&@_@gUT9zh5W!L^$ZLW2$WCRH5!w2g!(dugYBw;D-uQaB>AkqWQd{&XjJ zwEL+I#az3Z&z6j*I(zmKJF57W){6|+Vnup2pPmP%k`{Pv#v|Xhzv|N_KUnWIkE)&p zfm>=F!5h{%nG5i|S%Jis-8jB{bET<}k3e)s!_iFT9J@lk*8Ul0^>#kBfqi`!-Juqa z2gN6)6Qq1TAV2C3R*s`qQnOsnpKwxZOlV;v2OK-NdAeY}Hn9+D9ZXY;-LWJZ^VkP} zW!{dzdB}MTz0$a5{R!s$*YU29${F4E?$SxQS$-fS=jjRl8hs^>?r;1^cA-JI-1=vK zzWm`hHLc2EKhYB>t0YvUG30_naT~8~DPgn7Cjhgm6 z<-hxM=i5Q*cpS%u2w^fP_R7sZ+$%wRzvSu_PqFP=RL9puWBv_u`b0*uZ!wnIs^_Ok zQfCrpKcx=on0X!2;u1XN1cmRfHCVv9<v}?8obj6I8YbYAz+dN?TEF|gX?Awr!M~vL=m!b_=2Pn!^MItwA3wAg2W&(zL zUz4%lc~@QA3-yKkr|X+jejs{BGNv4+kNh1e0s$Nf<41XiDZSjNhj?HTQl#To7YH%uptkpmkZ+>=-hR~TG3j|Fk(3%f9#Ali6!$NdyB0$}(Gi`8`+v@+ zTJ^9t3(3f&MOr6~+FJJsh18MU;mU6gj=Y8K0i~d71J64^^J=h9BAW-ROa11!;)gNn zR1nwQ%oi^OUwJPDed4q>Qt5NVU(u5yM@F zY~; zZT#Kzupzd)MzEPRZ4F5-X9u>q9?njjV>JkassPa1a+;);qyr&)$alN0OJy+1b+n3@ zORi{V?ONO#VZ03$gbDEB#z29?4(a@sumF@Psa9G2*=`o0rs;sMb!j zj{Jlxtag!B_WuM4Ha;u^zs>oI`dMFhjo+wN?G(kMDNRmTgp2hUW|q|XscTUWI&%mF zUC53lRBmwjp(|ZF8p^QsAEuCDHKSntV+$=XLkjmfnA<725%XdU!vr=zCULmS-Ca^F zv!lP0BZ5Qr&NGkwT_z2`9Pz|id@aM*}Re6F=@7BqLMt8!#`gZ zLYB&>-s*Xk1=L#nCu`#3*sg0CV{AeL7^WA{9>}v{m#B6u4)`mD>j;!ua>f6G;YT-_ zX9IZPy)TUyiQtW|-Di$tlg?V1k-rJ1*NWqP&&!k_W)$qAa&h_*>Q=Z=YG^Un>&yY= zhM#8KXie`$j$QV%HK6XX!MP&V$)pdcW%6^jF~_J)KW0R>lDNgSS+kHQ-ShfPIV}-$ z#aI>2KAd`-*%eB11Bt=P3lu>(Ld$|D9wb&j!5HpRBf6W<*gh1yQ|~!vZ;m%~N5zw! z>M+e!2`6!$<}4(iNs71%01Ckv8$X`?RrgK=de|1q`c@E07c}HRSTEPMB>DAbVRhI%i+^Re(KA0 zM30(<{lwc#XKXk5AL#~wnfjERK<|a%`E7F#X_0U#@optd1R`+v<1Vn@STH`CVX^fG zWIXFf*5||UEPJaQ5MN#=_3ckZk6>;Y^FM05mR02hJ2SG1H2j_KCRga9c*YW}ylC@u zDlsA8*KhA1`z2watrNR+K$Wg{9-CmNbL^{AWU(E>RQ241T~o(ftS>0v5IKTSOxbF` zXy!P4GGrUdPp)Uy$$GEn%Az@U-J`RiR5F`2#P>PHyIw>L&^biZP}%%xC^VXMd-$~Q znLP6G(&@^LUMf7Xw{Lg@&c0yx=!m<*8$MyaASzNqJrLAJ8hM&j`~@&frf1F?rrR7k zWAZ3{M}GV@$g9nm)=_#|g=(;6&ircoSWVwQemI(@U(aK8rxeGu!yuSHx93^g_74U@ z1Fc~~kg$I&7t}b4#5$6%EFF_zwt6gcAVK=|tKx#vQe;+m&t`3abXWLyabJxNYW@*& ztsEfk612S@K-rbinY@(KnQR;MfVM(1@VPgl=h>a%7Rg@>* zFgS?enjD5KX|{TG#n}=_29I>zeUbe_{nNYu8r${^ufKV4de|hYRI9-}Hb_CMVXUA} zkk{l5N+Mg_{7B0=qH{$&SJpPQ-Bc>vhiwS`nm6H-u=2m6oBn+9 zh=&`#mURco6mishEmE!S_xGyqfd*HDBk1*YO2$YD6;F~`8tt{rw@Xp-ladT5`U1W2 zxIHiOrZ}~f&n@JG7%B+BNyKL({B}`tcMpF-^Pg2)h$dzNS-}Qilnh;4?w)uv&B&KQ4>$v^b_8V-2l9>1Eqb+9tM^L@#aqJJGwwD%yj4fg`= zB4DH4C6M&xg};_+JrFmG%7k;@q}UtMjV|I%ZGmNugRmcbj-V0@jM0*&{_l!ODDvRH zkq+zvvRG&%{dr#u_d?6P&BO^+QP&Vx%-0fq1Et^#DGw#Kh$i_%;_(+Oo8H=&x@h;> zS%D>R<{(#m$HS?edo!o^&hS%IyY=ET!lMkqSNbcJF)i-_50*fz25Hz#2PK~Ro%Gh* z*t79T(u4WMw#D;n<%Ko)Za=k1R1*mmea#0l-p=nO-)LWR9O^^lT=pk&GG>UBMoN5eZBeAW(f;2mFu12b`K@O@$E zC4uNSjae*wWgWUUnQo=1W>Pj0T9IRq9!_^GmnwU%H&27x>%!eRqCcqrExfTlUip=E zP}rBG@3}5pP*2$MC0etOy$m~q%}~dsp9>~pP6tLdT?Z&$^Hr(@E_O&=>sJQu81igk zl0&z(x)APhrAIk;ZmAZ$PYj{Xgf=SoEHyd&h$9az2V@LC(Er08z}(v zd)zjzIdzqW1oGQpFn>unuNL`OF<7{TVoHhzb^Tm7S5{&*kr%hOS~?I!t6c^s-MR_Q zD2Dt4O^65G4i=XyBGS3tI%i%R~P4U*5j8ye3!Eh<`Bl^tkj@RZ;wEK?>md<2|Vv|px&j zEGbE}w0E&VUWEicBwsKEnJjC%-go#-`Ln)e*@CZ7Ax!&zq>2CKNoR0^gGUkgi}g(% zO}6hvz2%6Lmeg){_M`37g(LUK4eo^>!8%VCt`!KueP(9gfBl=1p4ecAB&xJnRrhux zo|Du_%e$Ar((;@uvJ&_AX8UwCSS~%!h>t&121I9;-=fZ|;0d?h1RWZkQ`Ov0%5Q^N>4dx-o^ZW7xVvHqGa*^G}lNe2MB0{3k#-Gw_vQ zV4eX&c%@?Ydx?m7BBVQLfl$LN|2P6GCDB=1x|vP2<`V^Iz@w;}NOY#h2<2@IBH_;S zoJjX-D?JU3?NaeCIYel+spu13n71Wg4(_z#B~$S4?`vyWdzb)R)0H)rDVvdWam{|L z__Ce@z1sOc+465@)F-{gDub~)K8BOeQCvQp=f9*d$EHtg`*g^eR~Ol41U_}j2KJD1F)+D<>o7qwO7!^i!(-2zPNg>r9|Ri`V@3iMyqah4V%k?upCIFvWqRTLUGz>DVAzh2sBIt!lE~ne{ zELBl#wyPrUq_tj_(NBd8g>|CsQAZc}vKLXAzu2V}OEyuS?JA$oM2)K^ zA42sl+K`jbmx%9KEi`TyhbSd7D5J-M11P>=P{!dEpueT_;xo_Tdi)4*BVYf}`%0OV zV45GS|9g`MNrgV^xQBhADk8qm2%GZ#l~6YUEr}k=iS%PY4Ne^)KDL$ERl3K057AOe z4`(4oOQpwnXaL_AOwO+k2w$j&JdgEncgvi?tiZ{owM9-PK)sVJx`f?W8$KKfter5*j2gaII#~ju*+|M zmAOOcjN(OFFkZ^Au0GRPB$8Qaw~?*`ig67a*qMB>m+OnmXM02%msM=+>jPKbzo|b& zxLj{|Q$2SkT*_>z0xS>Tqj7Aq^WI09X#D|rQoFrbg-L|^evJ2Vgs>RJzAo&K$8+$` z&p=E<0)Fc!RSuH+KzAS}vZCSAd;?%d_#DoYa!E6)6=Ci0x3Wi5uMnpx`~!j5=?52< zhc;Ulh}6x6-va460Z6@~sy51%*iof`|nVgKImR#S24 z`;Ct-ifXi81tFV^YbdlVL6^Lv^dFxvd(to0sc?GU3WYBBSWn?MO1&#M6Y_`I#hRky zMBjCPiDe-F>5+V=b-<5L5I=N{1}*3PyY3^_#S__z1W9Yhl_rIuaOwh%1}YIngFJgs zxQ^{gLPZ5%$RScaNfAE-j*6Ma5-rT0*j!ZpSSvYlAOKG z9TOcPy36bRe+aj{9NkmA4zI{^O9D_FXB@f~xvkLln;qYAxexZ8DQ)7;)SO$m6aV$@O2(Ow%$-}EV*C%oo_h$q4E9RbZT;$bWx^?+)#eJ5L-N&mu-WRv=qtAL~6CQS(t-5i% zXj)r!dp@1l4EX=M((c<`RoEBbhFli_T*zUaJq^^~G(tzJoU#M+f2qHf|&06QF8 zK{0O|HCmMUhgZwu$=d#TMC$n27ms%@ zV5Gh6ZA8Qs^qP#7<5ta!%KjnO8nJoDDUMwkBwP0~p;SQ~qMS$i3Izf$c z_wFgTYr-*UTmcU3QI+;6+M}&nHWR%9=dY$x%jx}%?ZJ#Pqz?9Hp&2GgYgHXA=Rcy+ zL>)e)dx^V+H_VN8>$Ezn_#xc1ul9rwL5+!3mG8+XC6K=--pt*M_vNB3Ruo-hpCHe% z^#>@zI`GbA{Rogt^5f)EZ7 z>BWrH#lvs&4wOP8prWZC6tiwbd{NCKrkQ;UuY)k?|6pEv zfdM^h5Z9&9c8VHN$%7P;axSvfPJI0z8EZ<(e)O1*)!nkY61|IL{6Eu?~up{|<8!=)pe0bpBnVwZT#ev;t zvg2lX_yjb;A_lqzbw~3fviBgQYwA_i@XBJ+%Ml*5S5-H-VM??rXrLHNTCrC$a`TRm z<*B2GIn9+(d^f&E*C$l7P4)~UlgR=Pz2#d}*{V$lybqa?*TOTwB^y0-u~JALIGp)3 z8F9(VM_50|B!EUff>$$a%)c8=uZ4JzP%8>r*!jDXv}U^$?1$uzHR2(1GYfcNX}e_DQQg>qiP%_t!*4@w&jJyO!!l8Kp1Bb}^BfjF1ycw^l+p9x7a^cH z{Y?RU>|2?xY)`YAi%Gcthb#pKv5`L{yKDA;+CNr7G&W$-xQQBmx$SgAHFUfc2L)u5 z3g?vBhx-F7U-y_|l9g=M{V}`Lva5sqoTE9ad0IOu(#rXO`26LC|7O_k5FKO6g3J%& znKgoL_9E`LJRJDk_SMgPTK$X}AXZphR(N{6XWV%!NI8dRh*YXGKe_S|G8k0DbRx^G z8@Tmcv@LI}AaCq#GAnkcXHd z%7mm9vYh*xyg$Y5+p}hs+(CSAduTTbPlo}-2bjTkv{?#_ZfXV6FH~REQrq^`B5KDf z){WB|grvXSMLSk9>4X8hF*hr|wx0Q09Lm~s07Jgz9qLr#wFs*FbnWHFT*N#(QzzLl(*D{;<~#=VB#0L=UENE%pd<_3$3cPuyJvGnI=A@EAq8$(fNO zpa&uzX2gND{-d7&EGhA#DvK60`Xgysu)&|~SAd)RrXP5VtpBT?sP8V18UW)n_&wdr z?Wuh%-BUO9Nja}SH<40;XF4UXId=UUaU!(-^sFZnR`+Zn)kzGB70OHPp}WO|Icjw) zug042)4egZ)vRYeb6a$sXWz3);+djcob7m<3lKR6J>_P?U2IA3sg5dY2fvj=NS z|17%saPZX3Gx6q~OgSt`Lnle~V*19>{;x#hnL6Uhwo9YG|KiOU|B7X<@@x+c5dOsW zY~r}~b2X2LW5CCMDAN7bi?z@@gFIQ6h!A>-f~mb3CXCIEk6uHc^4 zOMz6y{?kW+LLa563Ox$i63hFFV%?1q2WIJ))#l}N?=h~fQ_Nc0)A1*bEn#OP7p0)ea%WZ~=tao42PK5_aG$Rh}8E-tW zFpk+ZlaMMpP$8y0S4oP7fKi5IV^ah4p3a2QZCkhf#?vg%JYR*pa>~az` zKmTw9h)N;i!lRt=`#Gi2cBb5LvH9WqbexH7iDBO%(_09w*4}s)``_5HAQ}D9SFR** z;;YAM?r>ukLDoFKXJHkU=bD)p+O%FnQRVJomDPvdG?AI?R4{iV%&9W{K05;Hap3~! zJweeh<{f>%VH8d)5yPL*=C+opk4w#kjLQS|&%)$yfc}KaG+^!Vm4Du00ODFF&^k~( zerogvk}hDkmXFF?AKbr7s)3T(r?~A&APe9$5L-DDF|)bBM6*Z))!jV?a`+#O#>eM- zx%OL%U7W*#*uO$LRkuC*`bF7-YhANkMasB>^dZ);08LU6b77Jyn4uyQzR6nBV(E(u zc5f_YGrA4p^lt#d(ypqMm;OXWK`8Lc#82IFimRf^z+5b!-nMHi7?ODL%_U`Pue>jc z+xge=&_p@$*we|DiU0oeuM{DT)v~XA`u4;6B5-TtnCY#h(c$uRP58n$iuhT-U=>Z^ zZrn0uU2<3Gjw`_e8kX!W-R&N&r6|p8dNEhE?f2O6?(o2`3SWgq))U5%brg18#qnQ4 zsIt4$I#ow6iXeeXw(_rRLrZKk)&w2u-(!xTW~qDsi5pZ?0=I}<1&w)SjsAX3l*hpp zJs-GWL07Kr83EGAK*hsU;RcpR?q@ggRCvHqx7;DOu7YxCz99$DJ~yT-1HqDK#3=3?au>Z)x?Kff2kBk4|MsdO z*Tm?7cs%|OB5SAhsmH&Oec5I@$ze@x;rZz&6w%c&$2C7e%D?uBjtH9k7$?uRNO1`w zi}>3mwaOg7_X^uA9))^omv$t9c>EC34es8$i!a``Opw7(C2ylEe=qg+Pncrl$5d3ohQDXIlODSD zr^xkKry5`gJpn@wzI0s->O`&1y^*y*7cyXU)O zTBs8(nk$}4Ir_CxWwQjwRdA&5)B9CUHgcpDyjQLn`X?sTO&8Dd;H+IaDSC?A>!_u; zfP`_Gc_}xr?~C1TXxrk{`JKgmTvW|l@8Qp<{T!;)7Sve{i>xsIc>46j?MDq9RLZSsWr!jjv#49j?kcCk3ytc!vmsNmBG_i;f}HqCA% z3YSXNpVC{qb1h#?p>v$$!CqmZcA;04vA2$aLoJXeYoJ%TQBut@&LKl=+MZ~YiAe1C zqO%XX=HZaBCX3eTL9glR^_<3&CS{)P(WQ*-lu9+f#@x}gKfO`R);!ZU9O-Y%Gu_HC zg9^b>Jwu3x)3msKdq$=NUe> z;m@0fPC@&&cwDF^+fS`}ElJg|=R{Kz5-Hxbrsy z{jyH-nomF-=T1&{J)qh*7LJviG^Z6+lB{*bQ8CYdR-V3XY|5G0j|iS%p!$EmA;0Ug zS)wwp?EG)l!)kfL6kp{a{J^EOk53*Av_{T*?E?Nl4%Q&Qf~c&UyrPpgzgR#F#^4(B zR=aF!htkd#ol*3DuLB=b9Z5qVg-Py<;r+OJ>0u(za6+&1jmh%q+UQ?#L17j(mr*fE zw>Q2fUa{=9`!er#04U5DUo=yOh=+Iy0mSTdi+P4}G;7-d5l5+)dY-2Zn)nK?__5hwGT3b}{@6!>o+dtWc+cEN5m z(>e>8NygOE9POU)T!unVOdCl@Cux1trZTHBt{Y3>eh!l6sGI|Uc@Eht+ywks_&6PB9v1_!Rw&!c znJ)hoD&`jS)FI-Du&^^Grt>ZokfX(eFyA3kH=(|m>`1t?fClB+C%piyb+C0iZNLCI zQjQ?PV-ufkxS+NFGAW6ZdKmnN0OR>J)2h+6-X>K6Qn#e3XqjY~OF=sstn0sN0JE6y zytmq+QJ|f2nN$)L@k;#be{M;&eWQW_z~6n0ZWvQ(3!}YMI`a|$*(qXc*cc2hj z#pW5coWXxy!u7s$2KXJ$&inu59$V$Im*~URYo1_}zs+K5jS%V9w*obefaNuFgAuZqsGNosNedqs!GJ+4yho{s=EzI{-d zm~!Q0FH3WLBuN@1De54-PoU5gIcpQ!YElWTJ1m$+PRqW_?H>Q5_V%+p*R}bul_J3G zhyfD#i1Z5;it6nt!)# z3>o9D))~OaBe5_WKA%XJJ>Q`~|LiE<88}R)A;ku@_Ba%xWQFp=2!K{fCzbkmG&0XJ z0w$`T&gyBQTNcsf8u{+$8RKbuy<<{iR*zYRd$a&hPmSgPAyk1>>xYV(D6=!Jyt7e$ z5a-TXyR4L53G~yw?Bb_K@J(~H8{=+;ZVDjK>cKUnW5}BhhM7ddJ0)>vykpm*yM8j~ zEmEMECkL{2_G-Tu-SyV=>RST`*cSN}b)2l_uGst@SRvd|xUaSlfVb*B&^d$FtbGZr zO?mFFj%B+>{8L$Pu2_!$fvLJcDUOS(R~B9v&@wa0JO=?-Dhr~})Lr02HhkS&%^zov zXW@X7(tf{xKe!#C61?pfyqIkA%&#g!@WHfy8zH5=)9uZkbe3_%HVzA6R zcfmW10`F z{VaZ*&4_ei8?%Vl4d&q~kvJmVXi~Sa-|28-GizG!+@s#f8cqEZh`p;{El8 zg-;l
c34xyA>=ec5)iZb-pmPj7d{1f39s=*AGEBTDSQs3+^aHimyx8z6=!&!B6 z@;Xy*+hUf^SQ%~9dI@B50Vx-8C*kV7^oUU5I*Hx);zOcVKPbMMN^ox!3HPaSX(>Cx zsD5P!-ZxeYT-tJviT7ty?M4)7)-MLHFatX_-y%l{(k=4iT>T_~b`so)=pHGv(g-4~ME#w*dg)UymMoQFk~aUo z6SGC*I`s(@{;YjEzIZuT6v zLh&7=zt8;ThQHwY^91=}TnJsiB2TLq=3Z*^1_FFl<^v4PK@zUbd+5G|>i}GqC8MP` z?gO&>*R$Ts+q5o1AFh)(|JeJ8H_2ZOEVrFzKzpC{F=y=Sc(eUCRFNt04V@d3BB3ZLK#!7bdROUUN{BbZB3ElzQ*&Jpy+;-g$P=Cc2(DwSSrK zN#~L7__@ONMZ@0wua*)UXue0`lUdkP{#*Vh3e|7&&Q^pQhlsS)e>=gQN|(1A0Pm}t z)s@kMbIZ$#c*$}-tPZ8iHH66EL`(}#L93hVYX4@kOq0VWHA|-(=ZMi3dY1s5U|O)37#weq~ef1sKks@iTga-NdJlKiLvW59iGq(r%S z|HBZpPy>R>YwSO@18H9ok*|)Ual!&mCVbV;YQUp*g)I|}!a;5JxMa?6nJp4O-Whg6_6u)gr?jv5_g=nmE8o8r0jRGgk6d@a z^3Y=vb7xPhr2EnJ&RxHwW?z*TGl3`VuF@{r%cs4uXzuH_ekH8}1N$!gj?ylVUc;mF zf*r2gtL4tpeE%s<6=UImtA8`^GB z0J^X9N%=Ge?{m7~4+nYoaOz3k(P!qQPcK}uP^9Nw8K65b@$u+KK%nVx_+}ZHUkoG*8$0wzcplU^Ytr!d6iTZ3jHS=o zrX;B6r7&|NsET*veM?eZJH9Dq(-9apNO=MALKoe+rHY6znVh#6doii{(XS~Im_PXt zZ$O^Itm(Mbdyb+C!Y+jV8_07Hvxn|B)`*k&%PE5^=eD;$9lanL*=1B+m3vCp*pWOH z4;bs3;{eh8#6gNFkR@dR;7A3!_zGZLIajRQc9t)c%!cRSZ`GJ3*pfn#dFBygFJ91U zF>HK<%q()L3d%&hDnzz)UJ3WJqMaHW0Lq3rK>%i_LTI4fT6V`WxJKo<^x@%K!b4NP zaN%EnPD|eIO2G#=8UfE$@$CN^fFe8MLqL>`;*OHG-R5gKw!#`C=K>bg{2C>%XlJ_@ zZ|BU(4KT(XZ*t04n(Oi#qdhI}6QO9ad$6okzLF~Vy#SH`%Ku>K%Hx^-|M<5Ql~DPW zoU0@xR^%MkNs(NYn+iEIreVfbxhgdsB-d6UWXyeUE6U9ZZSG;_8pdX3oALAa{`lxqN-VcU+Y=eA`1zgaRP08w;KDyROGJsgOK5OhA`GpbS;C|m_*Sr4P zl%>6ToBd$?nAb%?%`e_-OD#$Z__D(DpioJSF6-5H2Hb5?%W%ta>O^a!R}wZaQo(!t zI>-96K5!Mr5lr`Lc-`zzNTXfdc$SfMPEV$QhBo;~yAq|>%89ffC4}~~PQ*wqdo}j3 zPORCoLHiir4$s>P6!*=`sE+#ejIQZ(%0yp^$na!1Hdb|NI}Ls!e5eT$*lB8_FNf^! zmd!BCv|Lhtm6D;}2iBDtY<%G4bFBIC(d5Gt?eiD3BR8e{GJ{llT>M>icP81VxtFuz zMX+|n*>0>Jcl~hxDcXm&X+ya)n-;$|Xh~7G;OxP8T4L0prnwV8K1lpbxhKJY{37KI zy~De9!(*Qq=95$V_Kb<~e%>_6X7z1L$-?w8lJ(&%B%S$E%aDlSoQ~poolmb$Ii_Cw z2zw(2@&p0(Ubn}of#)}W>AbDs-u;l&&@J0|X;^po&xlH4hw+LOot_rEQ)Z-N(~5~{tNM_-RZMD@b?nqp+$+!gek z;a`z^egL-^P0!SLv*!@-<(iT=?>{P6dMY~KhNWl{8}t`tD*yMy>`f?*%us*wqErx} zf1MVsLhLe2l8Pol(!Oz?_kjynuR$st;pac|U!jZUKEDbHO-9O%^_WqZ{tf`Q<%FkO z$7usIgm@$@*?htAugEeTKT1snp}#WEn&f^}kG@3W1`ygkHWI9^rm>;Pm|UP!gYci% z6H9U+-&KG6AB8zAqv4gO#ISdn`uClQxE0_YL>TA35U?t@RGOn7i&b~hj#8NaN~tE! zUp5uWwwCA5Fj}jt^1eyo_w;%8ZMHst?Uyt zUe79_F7-M!=4BOEQT>V0+wE!9E=aotTtxLM=9)P1TR;j;hK?a3WySG#6NC5iBWkP#a&ENk zgi@rwTU?a3Yt4`=Kv_U=;FR3Oq-x5*LgX83?H-8;hiek@70|pZQMTVr+ija^L9rkl zRWMhLJQ!H9wY+bs$*)J4U|m9r>p`YMM55bhSQF}Jd9Hi^xpL&ei5wL+@y6f$0Bsq6 zdel9<@093mV1Dswm zCAYh(TLlq!kLI<2tdE>=nxDybD14$_hQt(CZk67BmZ+L@&Nkq!1WPha%Pd%~341qC z^r^j}_+a16r(XEip6L1)k?%h_6*tJIN8;e5@(w}G&+Uj*6mXa+ScegCb7DaWvPYp zlJppewCNj0PrdWZr*rl)KvZGhc=?&vV2DV$71n7v`TJOY26KF8MPOV|o*bEwUe9e+EwGk56>-CLC-5%~n&oUj25(BvVpal-hpv zfJ&_gdWmXWw*W$uhSBF`X@)W_`;duM_0~da${Sbl-gG5zxO$CU%j%mqP|{5oenY>aL6eh}@1%*j zMQ1x{OJU==Fx1H@`zF;sLSz880@?le&DuiSc2ht@u87OFsYc2U8GCU;%8-zxAP1t%55CnXXE7=xJ&3b6 z)2~z+=mxCuL`u@$`S&$>*$L`+r`Auxtd#+87E8D4sfUOct{HEZv2!YvY2=9w`=a}( zkh==Zs%3`ajBrqi$v8U6k6}_HOlBKvPK1A}QYAuE5d%*8j{~rM(!RG$zP)9or`1$v z;@1j9nZ0|ZcsL^c!~@0~y&-So|K){Wv6)P3vRO-QD9(k}8P4P_5&Q}6wVwS)R6_o+ zpz8TomdF}d+p`;J%SN`X|8$m-nN?i-OSv@LBN4Tk5~;lR%P+o-<;?@^qYeG}*uT0; z6y5B8sI@@22BOUxA4`BiqT07s&)5{(@NXJ>R|~xEVLT~KDNNqs1fsw_ku!y`Sf;;@nmJy8-^?9u@w`kFOka9 zC4LNO60^d_|D|0~Mil#BgI^gcZW9=OUJtDM&?#B-rAr_R{?Vnk@UhBZ@8+;?Y}O;? z8yjW|k+($B0U5SuZQPkkP9~?9KlcscO`8HmBeo#@UgI}FM%otXF1)!i_i^UzfgT=? z9f+Tab#7U?1(q?ByknTE)Mnnn z^Wu-cs^aztMA>~B4}8w`^B(26^(_ssZz#=MQ}t~F^xOtgSZJ#{UB<{^8-%lHC}{(6 zlA4OdbXe7Im}}9rRkw8lG9ui-(uXDV3A1U5oXpzPB^;7^!5qQ-!#BY3DFTJr@#3~n~7v-j(p4uzucm{ zpIE+hQOnEnqFIg2mo!#xrS@Wf!x7$r{N$jloxmn#U0f5>UMOiLOs0_XBX9N}8pgZO z%p(io?wr7XCZosyoC@F-xotFug_0=6sgv1AT4})J3eOrN`IwP{t;T=0Q!_!X2g5By z{BN|w%#k+pYH$H<(91G4WfIZpR&^WbZ;b7)C>Mp;H()D~rnBxpkY(2VR{qOPBOk)+ z`W8X$z9CYi+qS`%_z$bT#az}rAC8d2#3xpn=grfV|Wl$SwEcfc-)8*ZuVXQ3b4 za~KLJ%O7%-3ovQvvmzLU95$N!zGl48bnwfh8g4D^pX;Nond-b2F3aEz$+C-ZYbjVY z#xI-Wp3Y?frN0Aazm;URe!HO)0lDBsU0uvnMnH!7kXP&Tt>ZBt1z>zg0Lp1;FzZhU zc%iQE$?5MwwGl{95@Dw*Sd0N(zyS9HKVmR_Wqn@2@1m#)^Dkl8WdDc&aQ;?$3CmJ$ zASKpq)opQK#j~Et|)fy9RFC-yUqB^VaqT)Q-w7QJ^b}2|+QK>M&NR zlc-^LcVbc^J z!n!!acWd`@0PL(xN}wpz>+4@ZtP*Aj3NGvvM08dvE;L+pLFxQGIv`0qF>U-Q)pFhP zqcckU-va`1-`GI?M262}JCy~QP!j&~{9NtUYy6-f(zvMLXJy2Kj-AY{W>yu_qt~Ec zZjkD~Gh|326R&U{mu)F~FULL6T-s?f5>AmNa1bqD3QvE*4CYEoA1I(Dmq50Q2e5wQ zS|wn;DL9af7&I5+AT(2JDITgg&QuXcE&8egARmuU+N*#?j4203?3ii(s*J^Sgad0^ z1!fc-rcj#8HPI*UV)D>K5iNS7XP9JOJWK8JSQx8zL?H4;U$|mj#GQ44MKoddkPYQ) zJ-Qm31w7$UlJU12!<-U;U%U0P>Z|%Y97fcc`3mqIH@w`nG2Laqh@hAqUTyZFzKitD z6c*LM1!eGq^FNGrrMqd+G2sy=t=boHWT$MH02i~mN&vM*T>}@sV2sUIm;QP7q=ze# zOZhYBxTgz+ZI!<~OR!uDi3#r$l)vM=_+z2mF?@owg9J`qFmwjr3)5M00?{Z(F;GA% z{ZRr}Xi?6BT!NmQ?asr1-NF;4^O6Vv9vzb4R{q+oU=r{!hG$0mYXUlNypxE z0T-+oiWh3xDNn2VN^(!8YkFwmz;R!0Ym=W-2Uo*4152p8xcJ(wp6v&s*3}Qu*7+T+gwCfS@1+MQ~9FTnFnXMvp`-VuIlCp==a zt!L=V!JqXQPH-bv^ep;VHqY47ezt5Nnt%RQR?s6%en};9iEWDY<4=om%QTZhJ#OWk zVAt!G;T=Czfacwf<+Tw9{0OFfdE8$Y-udxT+Zu2r)E{q=;C?k%gqa;q4bqBhGA4zN z7de_3IVDE(QnR#50F5(4tAeXBsu)sBWnZ)lR^X+SJ5mCXywW8NLMnF{zZUonbbUQ{ z#W-c@z2B?6^yrmT044A^dVcx=fh*7+Ri7HZCpf@8t;s77$pCiUR^Gi3welt`v1B0n zYseAC|9U+VC|yXLT&Gn&g~v+lrO{R$ppcrQK}u-IF2+%_W=!6)@yk-beY~d2C~aq?P=f+}Z4~~qZGZQ_C%(Pk z(8gQ_NPltpsl^O=^_3`H&@hZ2tJi6Jtu4pK@8F07uV` zQDYoDd>I570MG#Wqi5HbC@kxh-UHN}k<`fIi;E%oaipA+x{J^^ji=hLPNn$QC#7MJ zU`=ARO42WDw3MUkzA?)@y@Ki!8RH-RG+ymLF1>(-x~J?E)SN&$&-N{gQf_3gk&`_( zts&A~vT6_fp1;Q{#2ZS=pLYHOf}mDQCc1uhEz|nK``#ioHS<(xC2d!91Hkr+)6>k4RoKD821M8Q+BF(q} zV;Sh}uby`@xD|W(THZ4cnIiz|TL(I^W&=C;>*jWA3>s15c80SlP~hI-Ep{OARSfoR1t?8e|1GT&gMPpiVFzvpDcyt=_mvxb_i`eh zw6VOk5W0fq8rxKQF>U!EN^fQwHQQ^X>h>?(Jz)fs9HFpV1u$Tex)%O#TGZBM#e8Iw zMA~ktsc?lGex1K70Sk5LiDoh+(a8>_))bbWlq-;P*I!fa0Tjwzlh$_Y(xnaoYnwg z2e1$R5vg!u?VB!$ejjGcCu%4cxSb_=)ec0*z7(S{N3c#N@#6F8!EQ<)BnI+gA})XGAnHI*F z6zt41WqwzkUkEGitFnOGn1LoqRrU+7IyR$R`kj46#v2c`cenvgw01b2{TQ401tZP_ z0bPLDFp)Y87@NooYl*eMtcE_;TDo8>in6#q_N27M2syJiV)-ybe5*;Z%k0R7dce5S z^zTZ;Qjeeku)AWIa<{%3V@8caBQdrP1)Osj9>#$SCRv3EL1YW5F3#)L6X(d;oj6iU zw_f2&_iG-qj@AWl96*B&r-pVhpGUU;BDM>J$?i<3t?OcHob~RiTcP2PP5<}jvPVEC zuBrHErDT9(AH}EXeT21g1p0CHS!;|_>>dpM25ID>DB5CJn-=nR73U?&C$M{mO}HPB zKS8&POVViuZYUbmsJ#IEqV~6dwz_yP-0Qx>ve&U`!{dLRTx!8NeqhB_X#k!q9in^Y zSBaQb>g3=$b(v&Z>!7&v!2nb6M7<{2xWjf5K-R1S9$!$qW@HMO7;HLq!*b*9!?xPU%u$J*UBP%7pMo{6DMW?epY>Gdxdi<~m2iW$ zZgc7KupF-UIp)*I`TBxO$}-~@G|RSX?=mm;DA=UXC1#Hv0F7BHHO5$KVlWe>4!(X3B>(87rwMu+tmf}a}HI`B=gVc!y_$y zCvF?bL3^6Fn&DUHT>V9&YiKo7;H3O6){LFuR~IIhUmp8$xSSX7Zk&t*k4%c-H8(Nb z3K@NuZE+c@xe}lrFnD|bK;FM``{p|?M6%4Q(#)Rrl6{CLZiId-JuWNBBgRXlt`4G% zQT7tP`P_=bTt9^pu%9pL+`!6S3Z66su79NAfaI8-q6xzH_g$-h8yl0sZMfN0)on&0 z$^Q+BMHR{{x!bZ*N|%! zI^$xR&3_oDa%36ZJJ=iCj+oJchxR@~`GND7-Rrr20ZgTmu=IOQmkL(iBeJC^%se~r z8}5)l;nokm?4}<+sG@y+pUrTXTtMqD z0)nm8C_F86d6QuW8yb`8*H(LYnZXXmlC(UI{K@na)uTSi3D0nR8oz-?Sq$gvLy#hF zY?8Mo+1{tSqt?^ueE@q&vQ)MCLPn5W4c>uCcK(&ht!O9o%^JFFgQk@@zoy3%stP=; zcqaLmDf#L+FDyrecZ^9MuRAm8-X9_oJj&-rA7W6LKqzJ+(_yim!VI=2CDE^DwGR1- zGQ_*w3)Yfmu}vIh^cxMg7&O;Jc0jnp7dK%ch*hPR0&6A54ySdsh37YvOKXvDbhsb= zVo5H6ZCJ1aINtjRV=u;+o$Xi5jjc0Y@p=%Om6ye_d&jLf#CXIGtmif~4iLVfEntU| zbk9>$n@GJdN$Rj^!DQ5Xh{$vFB$K)_dUZnKi*~3ZdZzx^$bYkYYiw6({)BUXm14Zz z9+2om(*1eaBe(!Nucs!zvq=dOSvZKJsqFiWpb`LyI<(4;+__bPc+RfbzYGfP-y^R{ zXPelI=m68WDW%_)whMvKtA}EQQZDhgE|c-{5tAFEL57xk_=-j}jv$HL z*~}^RCD+yrH43TaXN27s-_JTAuK3!rz!{N;mYbIv(d40`z)z!(yHmq@@4NS2c#p($ zS($dNGcMY&QqAN@iI}u7o7RLL3SI_*quz9ROz>2+=|o@e{9tDplFJPb+<8XB(OrWx z^}Wk~LLDrY4MS}aR=#}vDq~HV8jAtM3v;%!VOb~Z5vvoV5pe@4Z-OSlc zJA7R!2b<6KVv+;G}c}*RNM+39;(U#RXgwgZ& zC#1W2%j2PvRHib8=@yPph|N891B;LxxbCaSfIocjLw&)wY}`uU{T(+*V)?2a{(bmg z3nr~%$_+S*FHmwQY64aub?8mK$X^KK(MlPH>9Xy)3gp2DO*;9tS~pU{GrK?hrjT!>735)mzO}7itH@CtM_8`|4#JzHLsL zhZ^0sa?SQ?NRv}4DC+9fSEmLnbw;vZG8c5T+YT_f_v=v2G3ox!3+rpncu95BNd%p# zpPen|XlOq0;Nuf4weeF$#Gp4p+LSkZMLzFJSNnzMbTinRG2ovIp3^CEUJ%|;_^MSl zCNR_M1!={Z+&6Q?XPZvfLT!>JqmKRgb`e|Qxy7`vG(9iz{9-+@Q-qITvi5~;XNG&$ zvrz#PXFOmO)`c)qe!n2>IZKKCI*0kff=O+U>r`BjbMVSWv@5%Y)OakEKo0_Ix3pP$ z6tY?{LjN)`hk}KL<@8imJYvE;jlmALCo^-q1xQ(F#^!1U-8=S+gGMYaf5ehFBDT_4R7c9mJSv6SR>3Iin8uz)75${q=2)+zI&9w z4BrwRKME+-GDh9k=2zCIKQTiB;o>Zg+V;Ld(Ln({vKoE$c`k)HV@*4(yvvw&EOwVM zi0-+Ie8=J<{*6^v{#+z(j4*ePNu#_=tqcekG`a zL>4Xh&l4XHUhq@KyZI+)^MZwGGrP364fOfP67o7gF3sx1s zdX6ZULIT6C1Q_E(io^l{zz{Cq{J+P2v%zY>7r&MPl54k38HS((V>LN2;HjC(k^ z3iWT*&%h{x$k!2jVp5v~sp@^LWS8w4bXA9ie*HN3dzAyketI)ap|(e0n7(l=DaMIF zET@$X7BEZ-mh}V8b7|-xm4{vhuJX?{ZD4k>ixNVHrKUjtuq2i%FvhQQA>>xq{#j6WV&>Pyn_dXDH; zooDL|A7gUG>LDin1kZnR?2nzgGN#g*(vM5uc6ro^lchUQo78S(aiU>AY9BFN%0H$@%S~p!n7^l? zZ9UKv9!Gh~8u0etf%8ZEc7)4@?*nLR#|e@#7K+vI`U%^`c+d%fcUlKThF6={RzP0fJ!cd(u0oCK)|CDWG3KsV5qr#yG;4QcK#F%XYWwLK*7`>YeySEByu{0I zhuW!7WYqPZfXv(!0T)!uw%NhYn`T`iEidU&|GQSqeVjikAe=0>reWk(oSRoYUYI5f zIF>4-{DNL`g{MuEj}6bbfsvs~bc{X>R7dX8eT(co@SB2vQ<&TeGp710RgU0L$(bVC zg@7rufEvOM6p0sSas!P#%V|)+Te@UdN4WX=&2_V7R!x9lAa??Os_Ul6aQ)eBhBnu4 zP^U1Q8cgV=i`%5|%7<}Y+nmu%Z`ron%Z>ZNb&z(h1lhlt0A{BmHiL7sZIjbaJ6G0n3pq!3Lv-_eE9>)>XG(?SAXY{uC5a^TmK6#xv}~Q5_F--SYhF13Fv274sOw zWx134AxbUme<_b1AA0oYQ0=9q(QS>2Eon2DaTKzP5C>(_j8D+&Er9zM-TPNZbA*R{ z1v$PG!*9dQgqM()z%u(sj<#j$GHK+FY9gRwEPl2gXL<9( zk}2v6KRGp|)H{E9#+ih-{t&bC4-BoKB>)-g-5&%p-MM-%u!qpJ9wezE9CAQn)f3B#StJ~Li0nXx3DX#>36L!WuY6ZKazdyg& z6}Mqpsg->nYWo6qDW}B*5w7UxHbXo)qRcn=Con^<7dL&Dw%%Pv16I&ca?j`zu$M;1 z%6f-TH)Utfu763OFw4rfKZ-|uYlA1zI>ks0Cw3qVJ27)+Y}{c zb$7HpsWm#b!GS51Xo2>Ark%3E3hqq8zs8d*J+}!10yFwslGF}4JB2bSG^}}0n(=Eq zn1FO3a)Z=5mEKzxf^Ln6hXAD(m3Udt%TgcLx4PaJ!PVMq`r4{}Z4O~)FUI&KHf2FX z=1e7!XUbC^s4(Rxv7`KX6W#PjIb}3*R{o@~`!WY0*=Z>nUy9fqw=zKSh*)Q>JpJ6__a0)6K6)sgZr00O?UvaDY>EtqbX!)<9F?w)#c9BjQWq z5Pm*B76a?#gp~Fd&0ZQx-m#dOJgpq;9TVh#E#Q5uHBpj`^ayFg>pM&Le%MJHWKx#~ z>hl*{IhhF*GAbKUkN>%fjV{*XgxW_)VAI95%;aw@=8E_hXo*c0QckUJO${F{c$ z12VE8u74D^k}PP{8)ZIhq#KR-5JC>|lJh)PJe3sxX5< zVIdr7PiKkxR$vxm)kbE)b#?JQ9g@sN1P$<6wOeKq%Ow%w{EsdquL=jc6}(X0%Ud+$ zS7cgE z+{?pCoT!y$4w)|Is~jq0+u3a1w<8DpoKqi>Wu`s;JSO1Sj?+IMq<9m0nYtjN zS*T2YmjLnWF@^cUx}LJ5i8>ZK$`fz?E`Kk8OoL$FbwC?Y)Pmcp=wxr~s9yefP@UIr zf(JSwsDug&kXpb*pC8@!L!EdWoa{kiCO~b`kBP(4W_#9mWpK1`RJqR~8neStrcjM` z?CZH3_0I)An^W9(RQ5u{U#neBO-zRvAGYpnh@pfA^8a-Ky8&K$Ug7yQKeABs0fpJ`3klHBvDdc$xg%oEol;<)ADna#Un8-gTWG21# z^QDk#H8>ZAYHbFXuE!3Bygx?c(k?WW?m>ywzF7D7n`-DRuKfezQ(#k(zbLfixxvnFLsb|yrJ|4ej^+LN`?c<^5 z^VRx=7tftiqgd~|9Piw2-4xPwIcyZ&25mz7!rjpHRo8o63pd;P!V3d-b~$ z4-#MLyy``#;GlLctY3Hk0Mg`=4_fSajn?ZyeP7>o}kEigOoj>a1jX z-e|l10g+m#8v4;g_l!hhBC1^QUy;nJ#{^v;_v&N%M6G0>tb@!ze(qpzY*P_LLnb!3E+9OmnD zJ9>^Idq~}J$TEn*34MVWK0UIY=BE(8_#Wq^+|!Vg&Wm1IO4be8JD#W=VLW2=fwyYj z9jJFd@>Z9#1VW7oP~MMKU<&J+wq@Em39Ao1pUF|8_N^a$vVTVKtPi*dSktaxKaJU1 z$_~!D>yd7pBHcA?T1qjJp3!B#gFTmd$KQ{BrF6aaf#|RL)xb9wS|Od$pgYSCw!G!+ zB-X>HnPo8)o#^v5yJKJCKUQA?SBn2MnVgl%IXYMNe3zD{Lj1B{VK^1 zVzK-Ku&Bkgn9Vs$Q}3Lu!^u6bwJ>H*SO1KWa@hs>K=48Fv7#Lv8P=P_K+JvW4`cw3 zIKElBCtQ!xOd(=E^4!+>QPMg`g}&XuMe!#m*V9zhywc>VfaM#IFgb`470~H|0nxgRL9nPr{C@JdX)bW zgBF`R5kDb2cl|BZ+yv}<-6NzktQ=<(GyM|;^ukj#aUd{-!Gp)FLQ$u=p}30GWgFd#Y{AWGTz=Yrah?)aVl#V(+-eBrNq>L})U{%V>GPu=j9B7e@yoSNHn=?NyeJs4% zK3ozwrl{3>%YE`%{V~R~)pAQZFtO{u0$Jh#zh}W@#0+dj!l{F(u=sIeK;le_%n^A> zum5fxBnay_rFiEB{vVXc3UK$g{L6z|-L|1Qo-T5Uwa-*`$*IW4dA-u#U-Mk$m2{X@ z>y1IhRks$Yrz3+|R7yu!Xd5duF$AzCP7eEqJ$?Jo! z$D6~K660i53;s~cVJm7l6f zjVy+~v$VP*e!=kF16+MITW~@--Is4sEkF;dmq)f)@vCy8@8tM|Zvifpit+*KKBZ!8 zc>u^jEl6@(3yMg=W&MJUOA>66eqAZkk$IjUXeUEGXUc8ciRT5Ip{if}P9TO@T5U%b zKf&&C<&6NNGV1xpA@|L??Prd%AjJ_%&RaJ$!<#m30sra_kK6B-9@6R}pKV!UwY8<9MOECxl}x^ zxFQ6?@2%t->MAMj*cs!FB93=!3-~@;V0HZUV4TUl`&DyMp_<~;A{k*Z-rn#0{WaSs zcb_P&d=ll~I{$E5mz_JT1;hWCDU8t$9#Ph%#&gd6^hW!cePf8E-E%R3|1doY-=Rl` zUIC;w{R>=0+k+2t872`)29!l9&^grLGVv(n;$ys0TwlB#K zSQm7vl3^ICA4~%zW&4^pi(PJuuZ)X*x!e`= z4fR#U(1&pR7C?G$6eTP0-q@=2LrI!oAr;-i{2>VNJlX@$$_#uhTF< zQV*}Cl; zTMo|!FHajcmD^f$&!?R;TubEXrPT4*59=lU&d@rEDMYw6k|oY&T$gtoeh252ei>@2 z1u`Sdx?)tjqBKX~&NIhLBXRQlV?0eq%^X!e-+aDRB`Oee}Jc+LD zK}X!|cbOlNNsu4J9?yfA9#3?Sd_ZL|szT*dN{GCcsfO@qdw6YkvN)jCpbgUIir%Jl z&UQYNpV|R)uL4f$P0Fj*qC1hAor#WDM)%Z(cx}~Md-h*IQ94XcGmo4*A`JJ_j4Ye( zsSn@C9h59$-Od21%x6`g3OeCys3*YlP$TeM)a%21Xx* zF4m%c&Ag0csiMd*B9udA++$W0>R5^l-pX1UE%eBDX))A(qFIFk*4Z@9!`|5R7p5Xb z`8_@ON9y`)jV$UI|+#7xO*S-@apY3FM)w4&6g<10vax1K{b~zsO0!lJ!Rou21{~GEncX zw0d?l;k#Hn;k?|~vv@)}+5iz%;{AZXK1WAaP z*T&@x&;dhDc05peJ3F#)5|Zn_sprVAckv{zgd9>G0&N12aF!d2Ra{TSslPtI{*!vc(Q|Q%UfOKXnb_%Y8 zW8P~blcb?%M-FjHz}RF#Tf?WWutzLH&h}WZ=@cgrS>b0P94AAu^kyWz;`(~%~GY@Xx#2!Fdc!*Xqj*TqU<3wdk-j{{nY(!_S~_UgEM<1n2}S+?-9 zr+dt!$uZA0YWPs8kt96_N8O2GT#MjuA!kG(e3@9W%?Z&W$0@uCKTWWo#ZK#YBG2HH zdc%y`riOxBvfy5+yf`ItLFHm?WiQZ|bZzAC-SHj+Q!L3Vo$=pNQyQFjf~mQ}@+2Ft zJm2iii)0JCT$1L<;Vv`I=%1xc>sB+y>fTCCq(oRN+{FH#?f735;ZQL|}k) zPV`Iy(M*$mwcKK|-93$V`5EMc-;1JV^Sy3omgj@V+xS-(fpan&lkhXGfZzl29Yje3 z72SeixBHZ%S9gPcpbFdzOQFV_ri-&;&`m7^>bQe=nE#yVhyr!h_f4wqx?$(y2T}J% zy#-q^2Cke4%xaa{Vzru*A@adfA){A_aEMpXQ6&3@$Kp*)VSl3u#5MvXB*c!dWy;U1{Xpf z9S6@Cg-au)!uMvw-MqU4ycowFfJn&O*;S%$$bF>=<9`K;q?9g}lZp=Gu(}G(I5&GM zBfZCi<=go4{MAyAkFytEZnZ}E#yZ{pqcSw}y!aXJ;n%Q>tqr6Xz7{DJ0L>R{Y+HqsDvE4 zTuj*Hv0$l~$lwIZ%y8y9+O5w&T(k~d@u=8rPO5dP@y(^7vZI%u5LrhQkBJEEB^R%D zk99qYYWbB3!h{facxL&JS*eVl8C`x2zC7|bN*a3z2@Uq0W}oD{f1>NQUF?(3XnBFY zob`i4SMW29`~4gy{RlhtVdV5k%YDLVrKP!g`d~|3#S+bHxE$Oi)u=UddMCgre#@@> z$mVWUHec-5U+?YmQcCCXpEJ0~JSknz|7skK$Ew8K-k1M~v_5Dg+#7|$$A~xI&Io_L ze5G*%OYlwE+&Pb*;&AdK;72l71$R93}F{s0uXdscwprD>XmV)m;>_r&vY3*)m~5A zMt88vp7#G9rvjPR7a#oMJjK(sS}^xL!fGxA9W@rm-oJysS-5IXV1STro14ENx7tVh zI#2#idWn64HTl?vF{Uj;)Eg-NF85+Zy^ZV9J#N z`)YW2+ueA4&T&J<(i-qMeRdPqZ3*~DTY4630u28k?PoRt91tBu90DnNwbUtnc;WG* zG5CzHN3Gx*ud6|oDf|=@p+L3_C}iI`50VW}Q!&sxMK)27*6hA42R=6ghLT zHNBPbXYV0%dqui(%kfRXLtd8ID&F>wF9rWc`FnDR)h1|8|EtCP@NPma_=ES*0{?io zo{xU4_?fUvXxTWq|BmUOX!AJKC=W}4g8H7N1)hlFG4-O7yF=Q!Q=NgriL_VPjbO2wKmkQ3D3aCe}uoPx!qy@Xo`75Ol_N}E1fm%Y)A16k15NYtZzl_?3~c-+4-?T ztBkBpo`)_ZE~5EE?!g%;-52`L$0bV>yLSVNxPZVIKpHH?`>Pqy`AW( zYK^Q7y@kuS=7)7>re%(`m{&Jeg_SHXC&{!DL0PbRjW)&K>a$eYmj3_%GxfzMo4h7D zN%!67wj9O*-krqA^3>nv*A+D2;p4VukbTH=5huw~zVd$7#Bk`SjFQ{1Jl`WIRjN06 z`JeX4SA^Bj-_{ac^28H9PbOva3sL^WZ z*RIzWJ1^Dx5TDJj6;+$xEx1DdWT$1`LXc&sOeqc;PWUCEj+z}q$rAs2;<|S0N$*_0 zi_5XYyq9LHxzkFCc=MQnli11nr-*nNy>A|my7`EhXx)0L0Sfb zHN4|fgvruE0?j}D(aS9n;h{S{WD3=c>8$pfN9Fuf7I92 zXjW=vSKe!TzDzmoCbs(0fAU8xuPF49yc zX_p5Y?yw}a1~EcRJk8!E-u4CKw_7HQIXKr+ArpF9){ortktn7$dZsPElDK;Ghwquv zT>K{ZR99MxZ){av=XC5^5Xs_8+U0<;@>WxF!5I9Mbx%di~w& zlRO`<7!B`z;mIN@SFs_PApsQXY@;mD;Jw?w4O%5$6H-bKpyMH*y=r<4I)YAZrSLbi zUmu+SF_Z%9ggeddUSx_sU)uT zDkAY9e)hz}pptjgBQ<6Ox2KSk%_&-CK|aU!e8l5ZiGC25sgIPPqv;*_GR zORkyADfhdvi%TWt8oA_FIi+$Z_c5Yv4C5#!VH%^1v9XKYetv(!=ka-b9*@ua@_N2r zZ`Cm^qTA3jffJEy(+0c*vLgg5k z=ZQ*oU`c~iz!F@IN#`Nzx~yH+vW7e>*Mgd_HHbXjWO^1%mO4HxQ=95LcIxAZjNd+G zP4h_x_p!!y1c!F@4V#U$DP>#s#c1V86^L7Tnu{EIZ^ed&%9OMY4likc{LdrrAd$H4 zAJ|F^bhME^$Y7CT9%mr076eiT8=ZPeVt}(pb)PglJRQV#ay_OH<(}9xFlRHrYl~NS z9zPmu_!d0fU#{XPrby!GrHm8&vs{JM&pV>GYXkOWg)#NbO*PgYJ(W{yH+1B0#M~P? zpf2lp#7Naf|FD|&x%2T1f?sgLxp+T)4lYX7-_glNR#H8_`5sSdWs-6%m8o&zn*FvL zyHIdORxQMJ&aB6vB4{{1y!C$xGS=XYn{!{yPr~}PYwihb!I2qtgQm1i!K3DAePV*` zq_#z2GKL!U-WoqGYu^;Q^7wYs?1j=VqT%e#_ItR~Lw*Lf+0s;e-SbXQQi4Vv>C~RE z^OFlMi#10Dkz_Y&6G*Zou>=ud9a3v9(SvyauP+;9M+J}})3gkWcVf>bjHLJry2@K82EreEv%gYWfd&f4aKTj@Ao^s3@*zh;Vw<38AR25UV9M?JXC?U zE5DuR7WgAsXvcFJEZWnQYe>Twjz+7IdY56?~o9rpLknSYrDT15;3 zlyzPugT1JdyBV7O7p=~cPXd6om@XUtN{PtT6-DCN7mQ7 zoYc5lKvKnOQ?Jxi|3mB;UVWC)*Be$^&{%bw2~W*{md1_Fr?Y!s)I8?WgO zug)T~u%*V58?|4qzb)OQXKTXI);dx02FnZu9x`R?_wV3UpWlFUuU1rPhYYL3e?Kwu z4yPzg)2P>;Nilf@Oo_o-b_moq<{aI*C9#-bP809IS^a_UIJ!R6a2 zd0T~sSk0)woo2C6tPB@@d$A;pcosNrR2MX@pZ}0%Lq` zQ(^W>_69Iefat$idQtzhJWWb;oVKq>t#i*>rW4I}$)6IHhJR8z>w=4~8g71EFQI?e z@Gv5%avE6G+|8}cwJ1G^ai4Um)+1pud!F(ly>P8XiQ$&=L*{!hTISjV_o&q4&KP@K zh#S7p553wh(BIR3|2rsYy}wJ7>|UO;mhq3QxemGSH%8t$`qmt0(|>C)aOA#yLl~Q| z919$bz(yI_nSt?#dt1g{(PHv2r?iG6 zH)ws6rqn32BDV!*8~2<sjnxi(+Z_4bN6VdJ53szt_-seb86LCD2-S>fNt`WxYA>$6?U`kTB=^kM&7 znO>3LZhq)54Yt>~S$)vEkzP5SO?R0vYP~9ci+!7DuO6_7ObJ?fw&G6tbQf(Gt2yN~ zxLH8IzroQd+zUCg++3PBb#j@JoO;Lc5cg>5q44VhcOUuE>ceZNWaL3(lJMGoB`v2P zl1k}~5>ChTsQ~YN6-Qfd6MW|;(gcqx?rmQf?bHs#m2wQ;ppUl!h$empY%RW31gugU z8vi$C6lMfT!O908vX=6Qu)nghPEd?S3Pv&jYhT{`*87nF*}WByN2H$MEd$Zrny}@K zg+@ht2aT1F+;^r#h~1=#nmHR&#&mn|IX5O%d}O-eGL4Q)0H5-+#qDWWh%GdN&)?lT zWA2#0{V%-)dT&T|h>!pFjF;Ti>-qa734Osi9JR-E=qnw-tylU!WNa^AnzJ2j{vce7 z?9!m80&8&C`a&>fe=7fvL68a-w=b)x=&0qAC=!X)h$5(LnYihYQ|S?R=Stwm?SC&LnIc?A zz5rkP3l$vY2g{GS!q!`;R@ePqS~CIFXE8Ra{%YLUa6UQ=F*Ef&Ve*LSUJ-cf{!a3? zt+>&7p?Iun(`Hgh|ij4MzjBo9)Z9!_RC$ z$AEl)v?20~`9#1k3(D>OC6zp0{NhcHZh4=KaxVPzN<1Or+}x+Q`%;Igan7y9x9dAyzX6fQ=6$wC{vA%v>Oowq zRr~O&_v`p}E_4y-eV|LJdymBvlB|X)bwKT+_eaBr+`GySLyKrXO=^nM{lE?oeV@LJ zr2+@|lHYr2vyxg36Fj{lrF9&1&PTAsh1!4b44@p4BZ%1Xp=ESNGVyt~a)mJ)C^+c{ zhBOJ8@Ee3cMEvIv>(va=73)jrD=h|n*J6h(K~Y7JfDukX(`LjrF(|r{P7M(C&t7;E zoWE?Lc3Z#=`rbMDf%-upS_&gT;u;1so3dok$~M-_c#o{h#|kXwC{Ev+o!F}(y08Pl zfJCo(T|7LM^fqz)sBc~4#Wq6ENKB^a!YE^~5%zNdl3agDW5y`thR*I`HUWtF7uz?w zXRLp$w%4oIq_@U5+gpozXjpdR>&;^Ob{z)#=A{2e&eLEi-$X5g2TD=DF~cMA9tG-j zr|x$U!n~Qk*Y}d*`H%EGlO{&8XfA)MYbk2s?Qo%gu}L0@@e=k9$MiafYH({0^syU= z$r@aKbz39yK^zZTZgW9=jVBG4SJXQRGiPtH%Fj;W z9m-A}n;*W;wNjX~%c9}{Gb%KwFY?q*CavdTC6Ku;Z5od zsNlh-Dzeb^WUx76mJ3+8)1Oy}Xy60|Nb$Tg?68xxb2ANQwBGK`E_Bh&>-l>0qwwmyci!f%i#eLj+x>jW z7;_I)vkadY_b2+wd97!`Y4%ciR=SEE9>fCfmv}kY9sf^ zJrQ&;?A~j*On~U0*@AJG6cd_**(03O)usJfbfcU7$h(O!2m3r$nufG&kSqr3VSe5?6 zY`^mG=TF1FRFE)G1ETqy>T_|Kg*mtanmJ4>R#8!eYB9XI#S1ABYw;Zbcv{6$@B_vp z-R$1kTwcbRRo+{SoeKYcOPyZ4pB6K592}KVT%7?}{S{u2JpX<@3`0up-XoXN$|g1< zt^8&+Y9y@w{qf&G74|Ia%1T%Rz45=Qan67sIh90UTuAdC*J7>n{RDflSH5Ba#4tK( z#wbk2`)?1dE-Mm}vz9LU8rY?gvwWcFG}}6M!6)k=Dw^y0a)KyIt(66+cYnt1e7X6n zbm`$mT(eVk3=~%=&-kAsfDJ7=n{c3A*|p!yUwkAe_yj98=om)05%FFNS~;U4BX zQzW(sh9K_ZFIK7mK*&-R}P3iK~k?0(*HJ;U6UBN9}Yc#)XTOzZO2 zno&I6XE!aLJhR*)_VD!nUrQ9k7DmeW7k_r4<)+}1)kE^83=D71EVb|Rjo4u-mZgbz z94hjjrta|$Bu$@&MTB2|i+$hJ{W%Ra;61O$vV`~eqIc!<#|UX1`)o-d4Coiud72Tj z@wgH_{=4r<-(e16=L}2^cnlfxcb1JWGPD4BdmD3pDBc;T|FqEj1S@JmwL(`YEhCLp zaaXYcC6@CW%El{T^?mhw0LO-(nBTpXZv|c**#L|^AHPVc`%+;GwsK|GVUXs?70$-# z26T0(PwIW8WT&s&L?aoQ_r}hDlDe}U<2yI$7kC+0x2()_eVPVzVZd`o$*S4MC5a8UYoJMZLqcgD2^ajZVS* z<(z1YP zg+iT+Zuq$xgT&KnI=Cd0r1d9`SsJOcNh0&NlTkxFwcWc8mK&mSuVTgX?&DTt^JG#k zcmNqrBzw`6zp6P8Ascv1 zj<|Vt;Ius&t55Vax41b~L1@>ExTs0AJzDp24at5#8|kr-gaK)x4Hh{MEBm0fPJ5nT zhMuA=6kuGuU&4VK|FsSBBk2yid&kwk)@O?x*HQVDru{{Ef)fua4g zi-Kx`*ksy_nUaz2lJ(ztk@!7ET$9U--6(Vn=r+q2H*`Hk2V_kwQ;u?X96x?{*1K4L9dD5jc=Ut4^?Dqi`8}J z7cM1FHPme!Ovwi2QXL)`v>y+gxiOWh?LE}~oq%OacHY@WzKOa!dlNZ`P`?E&rhpa{x0tTT3rW+=Mldoslm>iG#l#W*`2r2$Rg|z(eX}c4wlO*hY)Y z8*tPO93b}N_fXrO@Um_heYr&K2s^TU%@WE@d|BggNuPQD{Ory0$88df$2O@!T<~;d zPBDEPaJBdd@Wh(lQeTh?;gU@{IL+bKnq&M~?m5@0QqOEr%g068^?d+fMu;74eaa{{ zAF&z7RP_uksR0>|h9hN&!WA-jNvK%*rrb3dq2cK)x!~K?vI>y&+~Q#$JG{YPh4mzSN-fsGkv4edvgvv zb9=MnV}@)ySF@$OFRJdFY`0SI{TsNf+?RyRkWA?U=~K^8?iMNBqZ|93c_j0?+~vR} zfmT&-NiT#mghA39-@N#XA>bF2UPce&T=lmPaD9)v^gc zebAqJPVS^x9EoIR2u5dG-hoU%#)Q=h{-Uj(f`>`R)f#F7{O3)A5G86#k34(HZ=cJ( zL^K#vcLuPV&0Vc0L`sWlkp&UYI?}z1wll9^Rvp*NS)dpxHVOPu-`k(4YtL`~2VGGn zKo<95BAD5YiI|`B1N-G)27S&BEP~EBly5?rDix}MhQ?F9x;Z+Vs*rP?C#)qAkrWVX zstc0KQ8}Er?9=hY;{)gJY#N-<)3B=Xpkedp@^v5d>QKu%6S>RQG_0JcG2BVB+@f_8 z+|4ohdqw82Cyhs2KehmSC&*BWRPf6s2C?aJOEyV?D^;}T7 zrJ;PT*vu$w&g!!>PA{nI^S&wGjp3TUi%c zhGc&H%tK1XlD(O!43c=JS!_DU|6h;ypQGXsXB{!fF@fU8h1mvvDU^Z=_^egr%cI2on!Ve))M(oBQDzn&)oSi6J2f|yh{Cv5J+@BC)`(nRyS2RZ z?q?fw4y0Sq67I+ZxUorB)uDrY?@{*U4(M=`*GMd~6fQWpa#k=~sL4H3p0nF&i3+c*>DK88G_T+^m=<$DzAgTmi8pN*O)N40KYlCOH+(z+k z_zFL_dcUsv0IMqufA}V3?fdiPZ~DWlR&JtI9z6}tn3x_tO^u~(UYTPBO!E76OX7ZD z^I~3SKNNfEAO(&zl3I5G2lHw>9?F2f``4uzSb0#1D2%xd$rW<{F6jT6phZl0u*vx% zb}DRy*Kf_JPGjryBNFYrq)0Ef9?o%+t;3)%=0V~F2wI1~;P_sNX5n^aBlxg$g}cW1bsJseJoaM9bInc3zEa z1Huk3uW4R&o_eGh+oy8gu<#GWI?vym(5f+l!if*y;f08R`&&elVh3^ZaHda|+UYeJ zsf2sA@nI_}BtK+5QIx>#-C$H^wS6CgV>K(>GQ*UERk{p*Y+^EC!$YFi6GqF6S6kyw z;aPj(6)0oHcw>my#-@r&HGuk6gg4l?d<=bZ+oD`3?+v2!F$mnjo~NDl{qUj}q<6m% zpdEk+_j!0XrKi_pu@oF0i}Ps`csIuSCO*|s&a+VCQa9dgBwnSx>YF`&S=pLW3-&Pa05^CfNNSo5W^zJQSpPaT@F{bYeu=i^%D=tk7s+8mJGCRNeYqFc z4Uej_ItW1*y~Md0RiTAzXlVI0e3Wyi)ve)ci9m95S1@+?)FS zK0ak?O^-v@+pk(cjxk@$Z5RO&O%Zw%oxwAf(^!Rhr@_EGC({Id4ZxgjpH6Og@8ag0 zsB#5Sj);jyQ;(T4ifSs8>^BEZ{#(b{d~Rd@=sWj23UU5hvb8HiKod%E{UCR1H>1Ejo*yE(_*-YulV@z;#Wuwt(TBLQa!&A-kb-(zkI@s!rN7YT9w58N$ zLk{JNR>`;$jwp0rM8>&v$h%a)CDE9j-;@JQF29T=q+|e>dgw29p;vJGKlB#iE=B?6 zvP<#O;C+JH9sH(JXr3^tbZHL=FekBNU>;CEd=YQoQ7?Gkljav3ZB!u@C9O4^hs;JL zmG+WcSxZoMJk26u_NYps)hB1(DEv+%x`qe=v|0T-+5BJD$GcgF(2~GnBhwE@sxAgD zm8q`S*5saTJ5hnQXNPG$-?)9;!G6*F(~!~+U2MH3Zjby>4Fcz|?f#7o)xk%M3|SsQ zs>XDj;nz|vcY-pj&)Y93dOmV8=hZMi;3sw;esAbwMCnR@iT}*$9m8OOj$7ea(9_hi z!Pq`2psHbT-b9lZ`exS0vKQNL)p^4G7N!-f`wNEn=4F4+JmaGlQ-!XWkC<4Kdhc9V zV5L)EVSt~zB@@>={mz;H(Vq6OS$u!>Ef_XYs!zr0p!=)U1{3IcFzPB_slmW?H^Gvw z$KRtY_%}Gpc&exZlMR?twH{L7d2Bws&Cq?2H+)~)%XEm>@NaKEstBnZFT=g#a|!x# zNm!%yJWJ26MjKPt4uBkObr^m;(sZr+G|{i!fcuYI)%W_(ZtRH5wiR<{u;@eTrvHWT zT8C<*9_fy`Y-fm-(8h-`nVIe z>Ee{nf91jddp>_TVf$m>kxQIUYqKs)dmN|0x{*T}ybS8y%rg4Z^|^Hk9ii^6mL72u zxOEm0vf^5z%IW?3+KWr&jmD2mvAlc0jI?eh=EQofnOF++CGfHrofz))G(EMR=+@4) zP8(u$PQmQI)L#)ZW+4~Sdc&R_Bq&p=E*7STvVwQOeBR)r*+mMa6;9gsFlm)xD_q>n-#;AziQ?W7B{q*{dKE5))4Au zwq+)4zK{h>-#X|qR ztQ*>ke;$JUlUn1wB4PDyXB7BLJC0!ZW~KCvK@<8?Szk)7&CBMLtS_DOdaH)QY5DLJ z0+@D{yV^Pw`2DLmY^X`js^M2Z)eSVN=i_aMA2>Q!G~b7+6qfkOn{@tFdNTOBxdU19 zdhxKzY4V%O=`f#7iUj!rC6}B}7&hd|IsUk$2BadLA&djUgd3ALL3x|F+r?;Z2?h7M z`HPkG^D={FZ`5g+Ci&s;yeiW|nTt(m(xV8JnuAA`PdF=}|6WvN9+J0i*WWp!{3~BA zQL2!awzQjuqfzXTKAK)i(|vJ;mqEDr(8gPk6P(?cow?ZD2=OW)eeN?DP8Rrs|QuVwdIgvd_om*F1U8grEsP~*PoT{lk#*!2lns~#0 zp3b&tW!x^$7MssYOaq%}h5f$UO5>mIIbpxf7OvnAz?i%r?8 znB=17D{lHe*)S=pRZ%|Drlv3FYg7|ZQLGbaa9q`*|B#%&EsC`GNUh4Wlw+;UU;7AX zJZKYXeVQdTt6JjkF_-!lv~blo@8G9xjKt!8#))2+eU7GXKdv7e5;P_+*vSnBK8%c& z4y^i>b}E*hl96~WtZ(t5oR&FH+rqIT`ZWSyktN^YRKTZjGHjam$?u60BC_IY+IHD8 zL!QT@+Q@5Grnj>Nb(znLHwLNmU(kCDjyRq%lC#<~e^A-^o48diwZlD2Cu3&-(^DV} zzXy>zN3&mnN4d>iD*%Lk_2?*v4-d9J__p-$)K01E0y(OD)W|l_y5#>m>d+yNCu81w zyyet%*#t7U{3W%Tb4n?yzP@G#;TKL3k#5$YVXz&1NSToT0C2B7K zG}3yAlyDP8I-w@>%Wq8kZ?dUNR7UwwkJanRsLPZlEzqxHuFSo>nr)jUl`TOB26Y$r zvZHW*e7=xXOypVNL>{6F)T_Os6G6U?lL}6>s73o>BTLa&HQ8TUsX=WwQDXg7Kt>HN zLlc&3ljyImP@LuYq^!*$t1xN)F6>b6p3QBo=FPt3=ItTFaEd-z6{95I!EO(RH7p-D zCfomx!*#phU>mZAX)4foITJ|XCFmuqi{j#8fqk>L0Wtn|zIqWeXgA3WUPCSFGF@JKK+#l7k|SPCOC!_uUrjgCT&a*BQ~dlO z3VNo(`w2uYwjW~Yg-Vs`yF$x|+`yf2ODny#>b6V>^ZT|11B_fLHCBZeOcbjXx;KR!fn*4R@HBh3+UgQi!Py9p1^>=S6fABQA*u;0Bdxoz5X ztm}WO`yG{fwz%2CNadb!tdb70-_(ddF%0foj5wuzsm)K9%`P#i226;8zpsN_hzC(*~ZgN3^S7;1+gZ0cM8Lc|Wan|y6#<6eezY4P9Aftt)YxYd6&UBnvGlF|_lV|%; zDc1h;>bu?M6TkhDUQ)5tJizNNf)58+P=*?xkOFvQYZA>|fpdi9L0m2;1eTK^-NhVF z!Ybc*zPgz79U=OAa$))_yyf`qbUebdpgG)QVb3Ey88(rdJw!eeYsf$4s>f8-WWk`fQ>-16Wt&|2yH_HU|*LCjVV-Z3O!s}qCF~<5_zGjQ>U*Rs}TD=ob z2a|zcEmMu#psOJ_OF1u#YiTp{Wd z&9g@9SHZZj(y5%-2%z>(9odfGbua172<&J|BoBg-{-8*rfppQ07T>Bge42?ZARV8g zmP@Ljj}2Mw>NcL;<;am98*QxFiOFG$X)M0jmtF|)Ls*@|=G6MAOgU%_3>;Qe5!;-p zf5%}Z5?V`ma0uhRfoStryFSIW@%`KoN;#j3g@Nv8B?UrWp24l8)5WgO>-|a$mReS) z!4dP0)3i&#irls2)4Tk+)enSDz31>ep(tIKb@jdbG}P%|K?c0(E=~K8RocN}l=K`y z9R8giB~L0YqS zAD9Y_eqa62#{C%9R-PEe23obGm_uhYiQ(i*WTYFvojT&Buu{FC{H#H<=s&br9ki@ppseBtBQ5VPxXBs;;tJ@&aDIBK4+g z*I4kHaB}i6HAAn#n{8lJH;xV)3^IfS`e8mf;R=F&lDt&-8J##pJY?0l{$gzHl;>>Z zg5cRyli-0HV?A%P>>r)w^N-|GNt+3+zo&;wnh)4!cjv4nLh-CLxXsWrow``#CDvq*NiO>pD~4EVGsYt%4ampOMb>5k6J^YyEX4UNmc@rj<8S8KG@n65Ypi!|4@0WSJFOdN zmDBRvX)i>kF^s#Jizeg9|J57`CP_us93`7TyrZMJH5)2@Qq%Z`j_JzY^o8Uk@oTZ2 zdpuAA@(IE#J7>QXAHMMqH>l=f!_)Zn6#B*f_xFX25%O{oz%USIGf~Admm1Z9Y;9tf*!tV!blqz^CcB|U>U2DBQy}Ze?hX*coW0@Dp zW_3e}(oAKMwo%cpB+y#hH?I=b;4=B^P6tR>GvqUQ+|o3{Yh`y%T8XSl+W{r0dwhD< zc-J!p{)F_fkiPl_?Lb!!lAHbH8$*vEtaOS~($0xpyJ{SEozM|Ss`{aq(arJ;p9jQX zKhJ6=d||Tz*c9gs)f2{FVrx#sZScv|>C`iYSV*M%xuXJpz+I>q1_-9ep z%jCrBae_YLbRsb(8n)4F6G=Z7C94X6%Q?x3C3(rnZ-zxV^O^BivOJ#&*^aGk9_;<_d7jyi>z~F=%@G(5yv)yIfT`NZi8LhV+;ZqB?dqI+C!Z_ z21xNr>UKf8ayh+w$)It3+LCc3IqZ|;Gwb{M3lp}Xy%p(fK)LJMPt_(5OU)U{jm1%a zY+7ivDPA*T>aq1YLG5kBLf;RVwb#hJL4LGx#X*}|FtJ^GwU_WJqNP0X+3a&npXhR7 zIwZw7opA(tpzIkksA4!|pyz3mDRGy#@`!FnHjSRUQFm8gL(Tk;<>^%)t_G(N5$`SC zR32+*)oVS@S}Bx-cN;;;fN`BqYFV+ciQbq#G?9M~hAR;ztASVL*R{u686goLxuVI<@7qgOd;o1?e6Fca zDOs3E>X(_9a4)ct9Q|{|qE+~z#(3?tzot{ps?t)NEmd|=*s&t|UP0goEeyZT&M1JS zG#lj#M>W#ve&V!Bqcc&b9$4*A+*Giy!xEP)Bn|#I$GbVr7;R6E*$BPMPT0D(xN(zL zbA^qh|IPhe+L5_7N=}Bqb{{1){?1t%F>QlrTlNjJKS=iR?C=b#!yVvQMWw2Jn}k_!e7aa0qm6W?6K&R#K%Kz ze+uDsD}K39h%{G`>`u5EeS51l&<}N^Ca=v;Jza>hZCz-*(BR&e??^ygW8YnrFhAOU z#Py1`J?7!}y}e!=UpLnM+MfxV7>`}2QEmagP&I<#9GD;1xrE#sdL&W0@%neX@$nh) zipC0q;_O2K1x1Lnm+J#S-Y=K5cMM<%s|sp&Ja|{ChJ?GEyVejxvyMG(s$#VC6r*u# zE_$S`D(K$i*98*G@$%$>2)~t@fdh8)UL-MpF^X2V%-V=8LgzKj!M!G{?O5eek;6W8 z#rw;o0Q6;>`b8x9@CK6|fcDBYbZI{oKAcTxl$&7kL6v$=Lmt)XOc39?bNh3~MNRR> z$8*?*>E6F~G03QgnZ#qHc@mBDn;v$~b~MYpjB1*PjPPo;aSL2tvdIx8IW@a`g>@~) zol&gco2@z>Yg$p9M}pG_=fkbj{EHog8<`a10GK!@J1<>h6}_$wXDs~(9u|HIW6EEO zZmET@*?sIULTJb|J{jJRsCqNQ9$bc;;GA0IAjac1_fL9o*8BZ+D+;q9i?|?9i(av#@{jp*jrs?pA1z{UXZ{>{om+4b-jf zHj;3j<}!D77i`tq0e8x@3-#Ub1Lb?2j=hZ07RZq^zSrTQMrSL43D;W18yBd?zGDB` zTOdCxVRqew4(lT2JhdKd5G}LizV*LRBn9pJlww0CMAQ|XDw0NAMQHl$9WUHXScwi? ziVJAuIE&A8o=$N6s*;{4qlkkJ3Bk=6FAM$ujUG9XxeK**4q%K z#c4|U3Tt@$p#v_;pn5yw?jmK73HL&^dF8<@wOQ!g-vXCSS3$helDXc{Be8@%_fZY? z`XJ-!Wvtp6USpusOUu_2Q_9FuKbyNlzG#>@xK)9746cz&WkFtWJSVlN4(?;rtf6>~ zNZHb-fnyHoC8arQhJL5cFkjuR#?U;zD_DpQmp!)bE!ph9{G(!x((}-z`Bd&q&6y!p z9eC!Thu@ANZVw6+@)}CHjzbUJ#fubHW!KL|O7|EijMNMR2MUy(tLIfk)`mOWh_S)S z>$CpWu2n0&1SRv=&&i-qcRx-fIAhcAvVsU zi%K;tX|a5#E!|=xI=fJ`$6ao^@iI<*fu2Q3JSqCUjO3m--9ewa@GE5y`;?%4OD{n({C@-CK}Bg?1{RVb+>4!iIOfy==4SOymnTX^_1W}%6zI1iqfM6wcUeV z@B6wTy9rX03_pEt;3E@cd1?n54`rdN)t05h*d<1yTife;M)oN!gK&9wKY0ij2uq)T zWjf(RczWB-t{$PU+;N6OG&T2BomN%ztHb7_Iuz~XLMxN1<4 z2QAg!b82uixNVW+@U|`0(C8>Z+;a<}d}qleIqtB79Qr`Xev2Pf&ML{FTvrjW?hDF6 z&d}U$>pL8-r*;;0)%%VvqB%HBQ@rrcWo%s`$!xVCWbhww?Dd~S-r!4cdiFlIA&U&c zwP3w?OQ`-@R^yW3Al+^Uggh)SsiwP8a0bq}=$!L5H2Hd(Mr`d*hm2c01xJ6`kV{Ab zs`2wuQF=QIA8WKQXSWCoUqyeOZT{S~z=dlFv{Ef(=oQzkQ5Ax^Bz0!baFO+?iq&-W zgX`SuZbuuyAq62`blcz%zXMGw*Fp<-??;}B3$z%pH7uuRep5xn2emFowBQI@7fpvm zfBJbHH`!PE6=t+6DhBR-UAE(Gftn)jP2QxkQV^54qT$(RmYT~(8RJ+1W;>J9qQ+=Xk`3I8_S)u$zXd`s%V zx?&%5ZjTT)?JyIVZMFObrPty4?p`J5&wEaS^= zGUWTy2Ju2oz*tyM1-633t{pXmYvF}=3cj{*{AMBS+^QzeTn-Vw_U@XKzs+0S4G!6z zVqskplV$P0gwgX}*jMn`!FfPa`IecXcHE2k9gl(t_tx6wCV7S*e~u`I3^jSZoKKH7 zM%&wD`FBHxL`6ghCKnl}Gqd?ttu01xm3@Kz)!l8qOu(2$WVxnQYa961%?&|B_gj}g z-oiRWUc37_xjj9QiT-tcrJ{NoSH(=UjzTrk>vBqaKk4>0p90fyfBRvhJL>y<$eSj9(i#lF`M_aBH=Wi8&x(u>ga zA#fk{{SV+jtXj9<)jyApK4~Wr%FuT^`YY-_;|loZvyne$p;dH{b zBOLuf?fg0EcCAWg&pwDebfJB?@sdoXPJ{iImzg|OmJR*&OeFnBDME%&i~;}H>dc5~ zC--MB+=GM0DO;w8)_bldAz^x7F zOJ167zN3izB~1R>b5f2OCY#|iX|uk6`jC;9u&Pe0J<{))CfmzXz0kqyU?Bg3)iJ+x!z^j2_gU~A=+}iENrIzN9Ml#M3x?3S!+l|be1;e-=ec0Hht}?0uV`ovN!}K&@Xs@J)Yb`+4 z^ElaB{=w5u2}>;c)8|sFdjq9{s%(a({>X$%7OKkyJP!`SZHMp@Gc&yVfyeSm0Z$AD zRQ7WzeF49ERN!GNw^ygr2UauvXL`HGjwJYnNE?Iy#W}himwlNW#o%Z-Py<#ftLZPO#>cw3YBn`*4ktM z>+(e>bByAuD=<5_#vt<4F0G@YtYr>jH83YFy5#5gSpOF<~;Yx^4Al5N?PZf@Oh zoa=rhDoF~kzF+B}mvXy8;#m?ycd8HB4sg_usNb@QxIXj!VHn<;TTX{*I+`+n>f#GS zb2}blq*iPHD@VaY8H0(wP+7WVG^4G#6xf8GAK9`ih|p%hZ5Zi^FzEi`o#+B}mOlHn zJ5L|3TTFspt;!C#=yr}`k zYey;dNVkKw2OQ6b)i$Qid_UHvwC1jbbx>BpT)=&pBqrYb3BAFTEKGU+42gBdc~1ix za!+Iz^=A_UD^t72W$K#e{+W6oX`m)Jt|Nn!n^KXQ=i*EIA(@KciptA}iu8ckPFVuz)^+kw%CCJ!{p zh?O^wa|d(MyNE3EK8pGViqa77_?_QW2dD@CaTlIh86k*cn25l#279lO$cv)`2?vEd z#3U3JrZh>0tGHO7V5vRr&k#Ufgm^6q|Fw3}@m{H+13vWJXT!MJTUtRH@(QtMJEhe2 z`nvXRHO`t1vQ5>lOse%nn|lu293gN|F#gzRF)j~iYOyH0%AU{cWsRyu-UassM<3%i ztV$hUP|ActTq-&#-g!%J;|fA|C8N|h1(Go`C<4aGswbxEQpZ$V^Mf+X*oH!xwg;DJ zPc_j+%RW{s!0>$wZYJ&2li>C>1n;A8r1#zq>gC^!1u`V5>|0mH6NTdIigEf`L+ zMx$rsCeK=i?f>UWFu+Iw9KJBAzoW)Uo$$e?G+ye-ei@;fw>toP z4fh2#h0f{Bob^cvzcS7L4Qu!SDSrMx|C)jhoizW^13xb>g863V$9sZ=?ew>jD3#}bz}ZqjG)1eu^13K6-7;LlH(nY2>;1Tu z`9#^K^%+=%k^^dZGq0)cNysxBC;G_K;deG?h?ase{$fm0R-e~5gVlTAk1CJu7?p1d z+XX#l(dzUIi-XNZbGN3PmmYs@Hl7|moV$J7M8+((1 zL#D@Fzskc=>;P1WF*JP53l3?-o+y0c2hXm1L{~ez1SsH?(;YroO8h)QJ0x(AZy4U> zYM9<2yVM7CLx+00a$uJ|{w7Cj0_qo8QPYfpsY{;gi^iBK$bYP2bOtfctuT;T4rYm$ z9o(D(W)hg?L2je#HBBjOr<<Yxj88Hx`w8UI>{9sez-f>LNRwXsChi`TP*o@EhUvCJ&e91`xu7(*=HvC-#@F zBE$L^33laiT>pQ7jXpkqk&h1BbC0Zq(64W*V>IBy&EI#mtG>BMy8X0t3noUba@fQ2 zHcsOwKz+dvBE>PFi*t1FtetVp6YD;PG)mI$P)ygIAKBPY@4@BsS=;Y|`&C+g+}pU& z`@2^n$C$35RSXXLUvab!anh$->J1g+h&&%ZEiu zf;y`u)*uLJkgA`$a`$60$hWen z|487Ypp=@+SxMe0hP^tkZ3g@dA>8zwv~Z)gA--hdxu|sOj^;|7+ZH{A zpn=z8c<#PRdh^4ji(is`YJI?p?l^&eycg6s=1M zj#&q#9rj#Y^7y?l3_`vupLnD$sZ@#0#n;_Bs=P4H97IWolC90pvd)aNEZF(m@Cv={*Dz zl8g6!|AYJMeRi|iB%9gUv!64Q%=4LZR4HJsLE#CEm)v4;S92 zfzOr9^o7~T7mI6Fc5caO^bvmJua@nL@(DqkCU3k*jbyBiDrL=WEsq1MUP3QqUl~+W zze2o4(b}W+Y1_!irM%TP#F2@U<%}Q!M7Q!71Y)#p=#+5TqX*O&via{IL7Zp#3_B>c zTGqiO7uvbaJaAJpK85@1cba~Cmr;&mkszOE5)|E@;<}Y;?8X#G&Ekuw#g3>cLLZE$ zBsLEU#A+n&lwzX&#U+tG|I)WMA7N!qI5FQPttvaqM?gS8BUT@tFuB_($l!<0^Df@o3GkWM;{|LsOsF;{Ve!ZR>&r&Tn~#KS&inYA-`Yhd zK14*}ss_Ax1?+atuM$Tj_X14CD@O;80peNLrf~vBCsB8Dxl+vQ0i9+q+rn&~tbV6OLZIQe>!^ryrOrS@UuodLV0VCnNntHlR62i2bHV4#1aYm;vngdAsOcn9L~7ahz&hI#t5=LatoU)!Lpz(< ziOm744??$oWe{DDMEPU8JySOf`knN)t2Y3$-rbFd!vdT!v!zhK&yTNxdAWy|6UTnK&0~3h-=G&Kf=l z|NHcWR$pGbHdDS0t<%uw9-HLF*19TIldbH_akjueP~s@qA0lK^jp&f-2(JmOw)yUS zHyC#}PLp&p+|hks$XX*(VmaZ?)EWJ70V?Nz^>~4xBUvBZd_lfpVgcH=d{4am?V9o0 zV;ClPV(P(-lGWCq^++OXqI=0FaUUe)8tBQgQD=_Za9O8T}eFTs-nM+%H}u| zC;Mc|m~;tzrRh&S-!5INLF)AAmk5f*g6c6J4IE#>y#BCdgn6lW_MCGNKZEn)k-1c7 z#wynlAH1_IT+y6Keb|R`LlGC(QQfgRX&gJ8aZ=-w}qjiQ3*$DBkplYyC}7z|t8>}>(AX_SIGT|Qmn z6<8rmcpQLkibCd7`h}iN=eaXFflbx4ct>CJ%W%JF6ZND5A-R|4^;R z*Mx&+A_4;o^O_uf9FG1xC#Q#(dUo`;nQYH?G_%u0*AVtE{wee5X;Dpe=%oAK_ zokqwE!$wflGJAg&g(wj%Ed~`~FwX01Dq};;B(;5CAjiez`_yXpK|4xxb=2bgyY3$Z z2l_*e?7TNGg1D6#{M5+5-9Y@;XS;H>6C31bk6#h08*>l64?pdvmXuq#B<_Ja$byJ1 z4mV6C*f(CgvO;A2)iH!#)K+<_oh3a-pP~5PE4ex{?wg%{vO5Z7oBr`%t}^^X2sa2J zMgqzX>-k#?7QUHuA3Qug_>gR`Bu?7$qo=iHKtspy0dV^kdR6)eSKcNfa)yV0z?1Dy zxM6|K)$XYHV_F$Rm_2}7dtb}ylys$7ZHID_j_zS1kSxIXVP)GzKESlMk(h5mL^OKm zC4aykd@enn(ID?l;fowd!|Kp0z(qv#RV(<;dkYP8`><9<7#H^DqqY zU;C68Yg{eZ_h2DZJqkhf60hZVzyU|`fr$x7NY)L=tofunOMj;(y^1^%gS=T&!cNF{}D){wj3*Ck3< zn&TZwkPv^*+a53*?bkU<*Kg#`ah=FDXX;N0e-rURg_Q|H|nmbts1c=JFD zuv9uu83x$8{5gJAH?qrzOKhXLOKA_{wo)G=PgU)PVN&?)J6mevs~hleT~`q-k8Fhc zcxQRe@Fk%}6|Tmbkb}9MtxJMqVi=@NkgDZx>znTPS{u-47Z%8UR{U)tb zxkneRnb$bVYn)Fre;wZGYU`J}(nZa&G-P>yw0KfvY5X*c8s*GS#40EwHp?M_cN@T3 zuA2p#ze_#qkzh^Q5F=d}mT0s@LdgCmqCdb1Q0zo#Uc! zx-YcAc`mRz^w~QI!NQM76?%p;ou_5AGB!&dQOS)iCr1&tpRGgzLQy2^a^d;%-UZI< z@DsI%SjK%Jtk9u4?F|CktuevZ|;H6UZ}V(n8tBV!2IFg$SG5GO4k{la0yV?K6cH6~JvCymP);a1QvYY$M-)ScCF zZiZKqpvW!U-fK^D{G#imgzyc64Y&CwaR+OO8x&RqM}#3{{ZGM!aB-~H_H8(yv)U{* z=C9K1xeC3_gXGGZXOqdm=imT!QJ((dPh;fZufRwFmUx6G*~{3daNnDc*dv&-Tr#@+ zfhm2_dSXv)fuM=xLSZ^9&sa_sG5$u$F@qXhQcP+o~3fUb1h-0%wJ1h1-t`kfAPW<;Ji)dt)HV7?b zi5&d#QWOI4WTy#vU4lG125kyu_|Tp-Rbq3}l_s&Hb zZ$TBK8={ljnDpH!gG)rDT@{3xZesl1jmf_Qy(QCIV6)ePa0diMR9TBh%?;yD>V z=QOs(nCx8?!s_e8-9;o4C~NUHR(AO=@UoImZSZjimwi zt*hv?zAw0~dt+|5=h~nk-mVH-z8fn!w|$l;o!v`ovNwHzK##K0f(@>Vi)TzA_9ww* z73hdXd)0pNCFg_-^?x8(`yQ&p?GE=9d^6Rr+|d%zfZ$t`Yj)Zh6Pb6ErV>TSJwRq( zvV=4wQ$6>*ky4|D7WTRfaO!*~*4oVJU1!ZE*0-GaT(-O1K9I=3r{ScY)24P~@Jv{R6whkW+m!+Y>5Lh&+PA zpP+sh{@9*g@hL8Xy)H)XsS3e2QP2`VLs4Dk;dl{jx}k9NriaKNs&oJ2O>G;reRzLU z|Lr*DNqyB6>cMfxE)}#S71}y1SroI_bR`X=l2F`2XXt>g74oAIhuZ+*%UvP6V;006 zqS5egv2bln;Z?nll66t#Rs}p+ig`$YgW3M>9#Wn5&Sa%%fesU`(M3mfNakY~H0kRh z;OsV%`AY)g=z37n<1OL8aQ0-#4!dn%2iDmEU{pJQXK!ug4wrFwKs1G^K?{|{-{2qH z|0wtn_VF66ZRhOYF=*b;>i)4sq9Kb_vTWRY8Q6n!~3qlNFtXTY7Ufe4>=KpcrQRZXD}SsE&1 zwe5~?BF}p?l04oXN`geS=9@AT{&8%d`6U2(Za1E-2|E4Skt`8S=H&)^-G2<3O7610 zW3X+*To$SC?=hc5>jX3t>e6#E}PlLpAW)K9Yv*y8DxOGricE&XBHJ}zpJ*EDZL!gQ$} zxNL`U>Wc(;+BH@!??U>Mxu*3Y#-SUjP|lOWJ^bAsU%Y<=<{3Zo_Agn;K2!%zn-dG~ z{@*rv?aqa$LBoD`41%jaUzOBf>Aizw7umkBc4l%zZI37sxqErrI2h_A3ZvTc)B=Jk zM-Q*_E(^CIOX0Uw@i$uWRnt!3lBpX{cZ`X{IV$ttrBFGRW0SV;?gUWB-v8j-&KYw^ zc6@#j1bw)7Vs#@3HrTs>F#4B!?eUJ*H+63*qK|CuiolAANf)Tv0c2RQ`RcH$;;Eb8 zRdW7Dgy_2cz+R!`qoVC&PIX`?Kspz>IHiWYyaNh&J&fdcw7V&G{@HGiNB>S@?teNk zH2X=R`W~R45q{U&w5ji_UZH&x1x#iTzAA^8#UkT|cA~IpTO6nk3ZG7fG*g|9G)bgn zjSEU9R(kwuL$`x7Uji^@mzW%th8-hztu|ok`lUu`J2qhLt`~@uf!qU`lm?i|6Tmm}vZnLSdHxcn787l^-_GezduFCf`*5^C#+|nN_;XCKR zL0o41Z#;1@UB45?$P#-Pq9tq08{Q_Ay*+01}`Y*9yM%#*E`|FJc2o-WcuOf_+ z`UupkHwzix3)4q|1rhp`w}JrP*6qWcN@a>F^rF9?6q*A96v^%rbTleA%^<6p;5NdJn9~u76KW8-FdTNisDKxWyEC+Ij7m$N(B6nse`3Hd@3>VJ! z`c{=v&>3ffcF(BkZDuM~T`qNeIHvLbZ!6rA-4u1JTMHY7*cB~g;1_#1gIxShC63Er zhAcbo{ws)4zpNJgt2H$VX2kuL19nEC7-HnO$NoT_)kASyC1;@}n=d*UB z8!M#HP}TXlEm*=tRvKhD>;%&|-+_p(%=lbg70;Ys6kl*l%s4@zfSpqC~>Jm^bg&(@#Y}8PahAUK{N3}OxDv3`@hS% z=fpSC>QXtdUgel=Vylxj@`kLrVfyw>)iz<9QOKfC7iAF~Sdn#bA0-c*SWgW*Z|Urk z(S(LN8jbg)xAq@fLu)l4i@(l|E_TAphX*y90gK(K>KQZcLfN=_geDi{NuL9T<#O|U z>ZUFRB)z{r)t3p57rKYs@)3bj%8ws+U#IJxq#c(-WVTUvpPgb9&*SNoZX!~Emcn!6 ziEh^pW4Oia0*x{o<|}dVjo*&1IpNqq#_l-U_Z<+C4gd1z_>;yFsOAr$=+m(kz%Wti zt^SlvG@8>l`}W~ROckb*j0&+|d@GYVcfxaqHo254)2QN>i345kwD&PHT>~OmztWq$ zfGaaxVyyaaSdHVYw>aR}1AEHY zJ&smZdgx}hl-o`m^kx8eJCF?keOZ{bLYOq#EFAMoHXM*8-LBQ{4?FRL>=wL}q?-;} zhp|2jWy7$hgG{Sq0DAX~Fd1NqZPmw_mEO+upF3S%oDuy&Z@79hE^ZW}qiC zxV19eW*OpoG13%$I){auq8Z^{TXR?p;C2qWJFbf}EQ8V3&rhA_FcDFd3^4mPpe&bByTLiev=msfDlbHih4-*DL*#!F9>Vh|xLga}LDBkM*NO}L}&2U~A!9%M07auhzN`Jk#o*?*MI9vLu zq~6YPU#t2Hzk=$_3ro3eoV1OTNRR0f0=J9v#g3n^oVu@EWr6PG33jA+{xD`F$q0d+ zZb)_`r@RY**^p=U?`OZ2GwYMtG?0wb!K_dm3*b8U+Br*5En>upvBtbdnPZW~7jr9} zy`S9=H9=mlk2is_b?!sn;fPCn`n@I;8l{ZxM^~M-Vw$mik(4i;+EI&&P(wRIr=^ib zMMu>oyQM#l6@iR+%6L@|S4i7fQLj$)SBE=#-`tzDsa|eV@;|S!$@X_Ee2-4AF^q1ESf0PGe7p_-&9jr3T1=n#ZnZi z7<~DJks^s%M8d&;zM%SRb+g%A@F)ukYs_l7#sTLLR--$P@t{vKi2YfD zqx9M3^N0VWU%mQH6GnFN_=2?OVHbXGVAg%<90H#uM#rkA_F1Ie(qtotW9U+w<9OpT z!b^FnuW@N{mc7e?d74n8c2BGyuja*Gg`-TRtMy~q4CZuh&eY9gkqf%pOMFip)*NRic(PFJ}`Z#(V z0+Wrt%jnw!9^!g%F*s3dH#QkVc&moZMw?z7Ux9AN(b<>4Bj_}I2|f&8K&&D*;mgo6 zXb`j=*N+v(rek<-J+TbX2520P0Y`{A4KD)WqkyYm!KL47Y}H9)r7L zXU^ebIIZ)@(UonRv}`9x?REHe`zR=l)gDss>wVrm3UpkV!F)Im8U@_Rmsj$J=U|qMTQ_05OOABp{6bN10oQ-W} zxn{a%HSfKjP0h6tRL_+5yqPvtzrCJTE?xm%j+G!XOQk=7?Pg25v@5lBvlyUu2PKp6 zrJE+&kQk=4AE%H$5dFBdRLb^2_7v0{L9s-$M6fj4DBL*H_^C17f!ER9@w(B-5YclU zJ{q3QjTJtv>(QXl;LLiDd3CB0e#{L^MyanmQ$pi?q)t<$ba(Uiaf4ePV;O?iL%edly{nCzhMSrin#=iTuejE$%oEu})XjCJ(db zyYiH_nG6qV<^lYI%lSq6@OMgEwjvzk`Gsrn>5@XLELow*AKDf0CQm7V60?T6x~5z0 zXnw&OoX6)It|*DYip8GoEZ(s*gNH>&@7b3p{(>~A4i0Vtzf%>nu!l{(y~#pn_bdV} zn5|>p#O=0qE~aFEkKZ?|iRQyml0{Mmk40Oth^z<=kP4MgSQ_$8bz8vCPE1%>L`ev| zkKcThywd?=8Q?Yik*2Hj7@Cym;dfy)kv*ssH2JFTx!;42wr+ttmt~O*-E!_)?nCl% zot6al#3b_SDFbgPg`=f#FJ4k}0-cTWyZn?odv36sHFM%h zY<;Qgq`^c_suXEj@HnYMQVxt#!%BqCUPKZ6iLB*(tL@&K;5`f|dk!F%?NZfpKjnK? z{6+grAf1~)aGcWd>b+czN}0yebVwWOo%|n>*A9YGt!7Ti#e?jMk9xW3JIOyv1QdnxAhVQYG$*%F?WcHuWmz&V^sD(~UPEq-nmfCX5@K?Sn zC3My+6!~WE%}jg7ZePN5IGj}~-+WX!s1yGQcGNUcv@@{@r4yqPKK$J>IGFXI_2>M= zckcIm{6Uwp@uxXckQ#OeFH(@3)YyVn^@1DeM1I}truh*;A|u|?aBj}#-rm7ZUu8{= z*m|{_>W}%d_46E~l=e+r@UJ%gA=XqQd_%(xgOi|yj67a=SQGeudrmb+dVl_V`EA<9 zoY*JUg)~OYQC}mF1(see*DsibV)Lwh7xqqlmR$c{mt1p_kX)B2LF85BZM8oyfBo2< zwm6~pVd6u)uK9rHcpTKFZZsaMThni#)!A?ML$PgZoJuI(`C|fsclhIyK?}#h$=<_Q zBIw_m=ANeqk9=!-7|KUq@iH04jSkSF-%*M@Nbi@>DgQtxQ2a>sb1t!N>Sx;#xebw; zx6|wOZA1D+&QHUv%fm>H?SyEfpK(WS)inVL`mB>QuaLv;}Yx0bX{tMWLHgf*k7gI<13-D!;2D?=)BJL$L^ z`8*JI~FP8ZwHMt0!N15!upN&d!}UU}Eq5Vt<`x&N3P zN;NH3;LvJ&lMyT+5?UAT>@l`Szm7T|Tmj#}&@4ZMMXwr1O|{#t4;ehW(&+6IghjbA zNbdpD8j8U)hG)a2aeNhfj_I1h@Y0sOxEIm<#;qR9TH{)WhlT#OGmDEo^e@u|-?wS9 zH{juCJlF|{k(6}D3${9qdu8>E_rVL+L1kZyjT@HL1^;jEuU~sh|L9fy&R43SWkPOm zXjs#*ei#AN{i44mRg#gc>(C}3kXw1Rm+@`$(;M-pVzw%$iVEVjX?%mQza}x0m1aq> zS5{e8Od#6le8gAeNtWLSZ)A}{OivDyCLQWHgQV|4f(3I&%m(E~iHH9~{^HC$>|TZp z$bWani?k}|>@1tlRHI23l^SxT%RY7WX{s>{F)(i{UH(#gf$Zz!fJPOP-82}k^Ml*c zz>T~Qt}>RxFJ!NKsxMAShTU@HSWST(&n?WEy{MRaEAKsda%KL9A~J*;ZKV5^lfe6y z@0MSM?+=A-cAp<#&L0i8~%RRCwSVzp7^lb}zNf!IP(uFkcHn&*CuQ=i|3VMU7s zTe05SjVZsYpb;!lT({b$&gm9IY`5Bac7N|XXFupSyUlV2V$ zR6Q<9vVEZing6=HO90|(_0`j~Q-%YA$JGw~_uGxKV`!X!-y+!GIiDaI!~T@qyuM&W zJcw)WTVp8G-JRjns+x4R)Fk#kkUdE#AP@rl=K8~PU|rz!$1%izfG?lpzp|&_Ke@c2 zHhgZcAghY!Fx2-@`?hz4*53Nny3@{PCHpPQK0k_=~}mhmkUbI(B|Cdylts zs>BambLvFnuq@3sY@g~yiu2#!Pa$l7;6}13Gsr}fm%K-G5u7sad*gX?sdpp-W|4!k zxCacr>2`C;>o>Z6$5+9-K$_E~DsIwqeIMMG72%0*N_u)J%5;*nZ1YT#i*<(3Y>!|c zfAeF(zHO)6f(ZvnBEhOjh(+4CYj8@~>#DfD4mXBM?mCC0avRTqwUqAa?i&}BqgWS@ zqL=OgLuGO8yPUuBmu7xkm?=|@-z0BCWz^w#8}zxc)5>O2xi=s5So1P1${L*Y@KNu{ z%n*2)(Ot$YK98h=DFEzOUs)nKoxb2MIFX)zmFt}~B{k5+QBh)u>b01sb7JkZs`s84 z<|@}nUW$F$s0X|X*L3lq;)2o6nlj-%ql&Df-Op9F8x_>ycu!BtGi#-xZScNCJ|bG3 zBxwrEGBTIeFuqz%T`9KwV${~kJs{7pVcf-ePWY{+Mj+MJc#c$1I>FG6_s|7ffd;-J zIux0R6egOJnZ)L0D!o;klriglGx^fa(I7za3&M7%fvC%Oap^$ukiY1Gx}CExVL^BW z-a7=Oh{DP9SI8GuJJzs61N0lvry-y%Jn;;C_>c!$Ec|4@9+7q?Jj$!=t%pub0M7Nq zSk0OAyPLJ^f;yL`SoZeHZUbEp$*OY+-EcqK*|V`GAo<2Kf_EIh>UBpA0J)-Uu>*@r zln-=Td9@@X@MxrbCJ(RyJn8jH59aiAUmrDZPa*5x(gcg9KYK<0b8&bPP%~R$5C-tf zk$1oR&0SQj*npi*%@dL8oROECM_!St=^@34Ipa{G%Q;xzp})(0=fwn(_cY$*DOsAS6RF z&YgQPrC2y8deoqx$@I5qXnkvs#MBY;a(5@JW7<)6kpFq}L(isa!w&1ufyu`w!F^&W zyzg4&4?-5Xl8CH>m{(se-iBfL(5l+Y`xH4DX6$WY78l! zRD9dwE#%=9_)%vd{QFgb$Y;VANtV%oXI(YZp9*Gbh2NMxG+_4E>4)8eAp{-X~X>+{N{k4$X_!&hSxZ#{yHU>f=-$> z>&5-TzjiVqf~-L)u`kZa1Jb;h30#?Vx;-7Lyrj2J{OF*}QE*a|=9o)`98=znpYya7 zNAtcPd6krVAGMpK{-%fwNPeltH?)Ah_j)3g@gVhq?Hg-3F8Nv6B3aVF_rXlW;!g#c z1evu)=5unsUGtcx`m z)D`%iS7vhe(0ia`q&3g>$L&J&ecPNxXyk=?nRt%Lhj9*tJfly0bA0b^Ni%FzCWJT< zUynO{#rAAcy0h2fdp;P_>EcQt-RslmJ`DX`CmD4WrD8l>|7G6a4zl|fYx5D)TvEps zB7&kH<`3_5;1+}STR(e=fuAQ(4m^(SGs}g1Hn<^tYt|`Qbf-30?oSjP zz@|LC^C|XX@jQyxy-gfH-k5F3qwoV0-@8CTH|wQ6`tt%%2l%ekzg z084?+z72oo;Ls1|1zI7&;r`jf?yMGm8aPh{k6psZ%v|5Z&vA8_f-EL4|ph^=Q=QpJ;r-uL7+t@M)vum0GlkQ_Wj2NsQ7Y!Rz9 zwwfoTnnUGUK9aNEM<=TM%B~nOcd91)IrLhSiZo?AGQySW*Uj^(p8YUE>fL+#n5Vz% z-e^|poGD;C$JsOv$)$xHHTrt!4ta6mLQHg6mO+%(h zkobw>GlK3fhew+E&dpz@Py50+oxiO!c>9`%;5Zl7)E1lc3) zDktBi|7N%O%l?d}?DrEMnhZn&|ByJ(QUZLqSJH%;f2d6NO$6HYyHBOWdvO|yy3!bd zt>@Y?AC)cMM(}DLmLcOg9qoV%asXiiCrW|u>U@7XoP8v$~SURmeT-3LT$h8MlhUYrpsu?D~(jd`u|CqmJnR@7Wd!Jmz z3qotky~XTLxze-4x}N@2IUU9S#RW_L(u9vIjLNmX$9_MQOaT_A8)ntVi}LI;kO_+I zzxw>!>?KWE{7x=5aV2^|#XP;aYYEUx{?XjLP;gdKK;wnQV&bIC^RfJ)$e&^<#gXKv zvQo^mV(C6D0MIwohILC5^hsl@hmF+NOWmsHNKt_v<__QE@5KG>OP@g6t0~7>weLa` zdglnY>c!=-cWHrC{nAVFiu60xhxtm9jfnn3!=tIgR+j0*vCP{aOk;#`2MS|BtK6&i zU4TzNQ5-QQ?YgXp@)3o{eSKh&T9Y~M^L@h-UDBee-lhIlfQPK4)v`mW^r~cO%i}13 zO5;d)OM1^sz&JFqYDD;qY>P=LGH4@1lpe9lGnB0NdcBi!C_nGvmg`$r_*??$Kk`>Y z7Day?hm=Ro$arHTIus*g{rbh2DzSErX>7>|m$zoxGzHb1QwiPg#i_Ku02t>PN^0iOQ0YMZgDdT{)~NWd;^QQj|)yWqK*K%vvNy&V~S(NF~}Iyc3r z&?o~wqnsevNbM6)n&d;qVK8$oD=_7)hl%?N-Be?KMyielnSRW$=+MN1L>My&Uf?Qz zgfN2x%Bp^ZC?>&*nula;_AQ(Oo8XPOEx6WwkJ>so+M&Wz&- zAtRH1F>QJW(Zp{G+MQ(yAGmzD-@l^nr!M+B%JGwU^ffg{@5gW4!RthiJ;h?5n?!L1 z7e|P1mcXKE(3A3elnzc+cl(E8+NFP|`WqPCLd*Mr zGiUpUmh`LE(*s|ZyZ^BSzprx`${!la-qV|S*XHa}lv3*BjP$Wp{@GML@v+vu#wzqi zBzUo+@;M88Kbuz2)f>MA!|zm+S6G?}{n_X8o>M`_Tg?of zV4i+0^`Z>bNyW@S9x+P7(p@j2l&8J<)9|v0=&Q)E<2X8Un?p+S{9YxhnANNlJe^md zykgqW4B<(kE|ZA@1|jJMB%a22m#<=UhBJ>+rE+ur>X=zm#$v`bLxjlLG^5sip6wh-$3eL#G zTPdq`g!9}bFVd38$`EdD-Tz6Ko1*EhWR*ngNW)!kB23DVvP1tvcW-cjcks|4#k*?B zx4E_PTg=|xpH6>|P$qA%w9}r|OQ(Hk4)yi?vKc|CN}RUGye#{ct z>Ra;J4h@1@^G#acn7LO>ULaS|*1d1{yYeLJmL=*G8$z9@=`<@somW?LqEtN&qyplN zVy0;LD5sWAvqs$0Np`3fjPPeV?IQda=q!gkgw?WK@zqkTw~N!<0(j!pY*PeP)ND76 z{XhJXF)vG78)iDH7LhgY(K1hw^8o4El_L$gP<&wl``kn7`nNbhydy-Y}o{ z{QbrKNKNSAJ!#86-;>ak-WF!Y^5s)0u?ashH7b{;9o6F2Ev3lS^Bz#GO9Xo>taACh zwkk%Fhe5vQ)IiLgeQZAUEaUQ7(fYRMpQt{yU%zWe^A9KBZ>n1s%qQ4`ECN+Y~Tj!Q#I@9=I}iF8KiaL z#TW0I%L8l7%KBpm8HnM6W?@K$CMd6ptL{QLu(-fz%o@*i^r0w0V_uIdk_Hmx7;t&% z6L{?BXf9eE{nwV)a5_#jMLJ)qtX!uQMdKAz8*{iGx?ngYRIcco_K8Pme(bXVSX}jk zNNrXM;`V?AY2hX62MH*AI93z%i**b#c(W$7AtME*xE-<5E=0O~*kP?U=1aJ=4ln1c zjau9-OSM*;NyXRpQ9#w%xG) zPtMs|(Gy3*@Rp1v0b0OYj_d$6(`tz*oGkKbnVI3{@@Y(sN%)`8?=Q`V=RY>kf_~sM zw~sBy2lTUoVn4fn+VJMKz6@wIJog#|ST+3zW*s@6xT&@jNf6g#GwhhHzRP$xniESJ zuMF&2e6m21XUgNAD_+fAO%`gjeDNV=!is^-d`f%(Kx?6>0=V6%?{S6 z#`B7=j;%6BoUDwDt?d5pm#+5wwEA&X)&FbH-K0SUEowCNU%$hzmrD!nZhSK-?G8~l zZgY4TuDU^#W%y>$^=BV0!{suke&;Haahj87$eNpoqyT|&+VNM7UeR}MG)^ALD*>{~6bBI&mKXATVfstA_`aHG&k9rg~X<7&xRPr zK;TFdb=y?ARNXpaB{c7uJUZMT@yJBjfo;8Xe;^C)U?S7z&fhlia;JkkIf>i?3nQDi zI)ABq<>o8-DZ5dBsr=?Cwfy}4fs#V^d(^QLrVT^AZE4Zay!Z#Y1SmT#vsO$Q#fvI< zaO)TVEMhN4d)``=H@{XkvijNjjrz>3$BUY>wNY#mGSv8mwT|w{!uEiarN0LkaY~7` z*_KTWmnNvuaH)ihaIxX~rKsQv3RmU_v$UFZbi8K*<@tUi)63N-{Ri9!I$k8(nX7`70zwcCtkGe=37?TeAO;|dQ*EH%l5WL>nO>QSbylpt?9ex%$3tGfJ$`-H=@>F%jGp_pf^F2Cvk$B%r zRTa2NsE;3MDUqHNQpRclmF!6Rt9t^PKjtUAHlI!((?Y%U2O^?ep@cY^8YX_cn>mNV zGrp-r9~i}HO^l;;@$_=JD4bwt+&RJ4F0(~edd5~{J%=2GGSU->XYTXE38Tf+OH33% zpf%Z8Z1r<$v7n}JYAIK~1Juq;qVCKbEvkcBZZ-C-X4;8^Luz4R3-8F+S4G(ytDhhr zYGkp*S!~fS3;*&O=d&HZyuYLgCCU9$M%`D5=et1^LT4+7AGJlE%&qZ02=wD ze9Uf-k-?9Dnns_my@qs(ChF&TU8%BKAwfXCtO(;Roez%>2@ag;_aaYTZk#t$+Rzet zD5NbM=VM$8$;vh~Y7uN*&Rs@=ksuDor#O^s~xqsH@TU>f*pY(a)nu zB=U_2O>+|%_~$h*BfBHdS40u}4}@a5|0uEWMLi z8myX-v0RUKf9MtFT6FB*ih>Y2ED}`#gD8X&VddoR9+Xn3dzi-HTVi|bwCA%HE#h!t zIyC!1xBZ7Y*}c$2wj0$h!ULN&GUW3qLc7M_hyx40#g$HeAJJbVIx}Y8ZMwJIeyO9g zJj0S9ajH)r$o~KJ|0D4KjzG$DraZ#BS4DF38D`SI`cf-HzLXbVZfu}ptzyKoeEofN z+&tVo7${=8`1d`wX@AIeCKhfAO@9AH`ZZ@PmD*d@Sa#nzrDe$BpsA=b_kCT-K3_&d zT1&9vSys_X5dUVonskTEX4wSZ+{b$Q%8|Gh(&f^GcgyVO&Ix{GsnE!2(7RiwlF$yZ zrZa8roso$=HDj~5MHg+|g)fd@OYEO(JMT$E&K(JP6782s+wV!wmn~MQwQ~>8Hl_JJ zLTllIOG0Y_{Nw3YT*EL*L!n6f%l z>**QSl{UJ$1~1Vi2+h+SxkS;WWhN$C{h|T95H9+p`>9oTCV^3Wra^e7q3~#EuhcBW zenC)Pr$oqZcz?)VHzAp=uCdl*AzsNUQ`7F3z3T8#a`Nm;;Zj=qI^H3`QcO*4o|{>4 zO`AtzO%4+Ye`UFQ@?2N>&m9hTT|6%N$Vnm6$EPUIxZQHhO+qP}n zcK2=Dwr$(SZFBlhCYem;Ws=IST@RI1c24S4owN4(1QmL0)2{~7l~mg6I-qT4GBtYI zd^Id=#14gGq@=Vx`C7YLWSx1u)mQA_ro4?)KW{VnIsvQcDyk^iEG;W1FVH$-K$@4I z2L9bx8mYK+*XyV3*Z2#?93M}j^(oH#c&(n7Y3dx?)>1;-r=`*2nMnuls~Ad7R5C_) zp`5L!w^em`du%@}KpR?oWH(6`ERX`tR zE|^S8qNQRbRWd~fE*#%&H7)##RNgnBjZ!!nicYQ~FOExGMy4i0q$($@ z!bIxMt1_1xj;oSsf?E8m4-l%dX$(}Z?DGGuQ=f!Z8JdV&X=J>|Z5uJC1?+;eCYv-;zcHmlm7tDi zF3Kyd?TcPxHd*DT)>Vl9EotCWFifv%hUP1hqH}qu!EV5I3~8cLS4>7Y^n`UwX~;gC zrrD$xYCm?&mP$~Ak%DH$x=#54dq%e=u~arZLYWh>s>DYd!yG6aZcuA&qB^UV)UYa; zLePcUp)+_|Sm8`YsBUi63v+Oe9ng1*SEKmSHr?Qenoj;&I&4Rue4)YHm_|yoJJjRJ zqq?rXM1QhhO9^Re)P2$1-u(R8{P?kmo}njFYQlLm&xn>uP#YfE_x-CK)ZbH@^{h7e zR%wJWMwMK>JVBPyaH}DHdE5a#6BK^K?V5zGMXwfZ%di38qLM$Pbw zZF~&6iICfNY~lJisGjnwGTG(Q*f~6>V&Ku)%UK6=H|@&9@iA@0i&d1;Q1^<}_K`8x zGoxY0F`B+n3m9T@!|7zQK1E^|?vflYV72l*eSX~< zauST=u4c{*Uv0{ncL8twlly2&kn)oc!XYDUmpOw=tA+3^d*2l*WEraDcHepVh9&akN6Dl-^RpGX0dr=e$O>T|g+j}f;NSvy(#(GX=(PHl2gdXiA7!87z zMFz&Pis@y^LZo9mUdrSeLS^OJRW;zO+L)u^bjzr5o!raMUIyoS5f+mUr54&{(Lz&| z>J3B+quv$k8U^E-%XMTW&pgD7&}qPPKXjtL8ilAn^irtK%zMeCRlXQ!E|Q&+4ltCtRn5>~h;L zF8ew9wJr@f^=_bUO@3xGFifbGN4&UONShxhr79V3D@xn-m2N&iV`t<#n2TvDn<)+yQ%PYZ{IUy-r*z}FX;+t+5Mb3ZwD z_SXn*?db1$qDvTfN=IwGd`<&{g{CdMe76z~F1h#1)W5tB$+G0^p#@JYbVoZqE(YvT(`#IQ98KGYKTOarAV5#^y z5qky3aH18B?pXOiJ&e}OSs`zq1D{p@O*`mvrq*yd{*w0!8MkXpVpPDohY_*6jn7<+vx;%Vb~VMZ*wr6)^XE~o2d z(yUCI->PP!YakaFL~u%5%b27_stI-pFMAO?{!;SDx5DZjO~~~^9mjk&TS^n$rG+Iv1}pFZnctc zT@6IIy|+P%i*PB9$pUh2FCs2!IOMM^iMBQ8`f$HLe&4lkM}F@$>YsML8lRWB9pBew zN^qV}jv_*9rD5(lDatj%g!O7 zm!UA8$IE>F6I*Qz9b=7bmawVjI*3eJC*XUFG`pblvy>#4zss=(fFzxZ{fj$n0VkTt zT`B=Rwc+e=+#D7z0l|G{*{P0dH9*lyQ0JMnWxc&aeT^{5h?Lc05LpZ0<_fH z3y(PQRTembzdydfb6o`*ure!~Du!TR9eKDm0&+hL3cS34gMd6j3Nh1cc`gLt>TdUo z$IhwGk2|0A>1JumO$DdROu18s86fbVC3#3dW^_i^xmN^~oHPJ+UZ8LdPU8pRmx+5k zSx|FJuy_`9Uf-cLu_U%1(0E2fe&|?t_lrBczqy%^bC+WN&!u9pSj>;^Gt7Qu#YqsV)D(#`gi_e6R#eY4&ic-0xr}a z92q(umIfdl^aI6zqA+bPdlP&?Zl6FE5LMXAgPWW3Il$ML_pww|4xj~wRDY8u z-7dfxuNQUmMO2&ri0~aBd%j^NTp&D`JI?0i!Rj9O@Xd!<6YvX#7M<)FVpZRdYPg6V zyB>8BYkjp&A{EGp;fGMyEgr!5AFKPcQZow)Y3g4RFTsx>Mn>F4T)E&Y$<@9Mj%7n=Xn?lEMYNgq@X4eLtYL@sImDw(JC>> z#`>SPF6?o)gc4P4;aJWL)ZBULSXt4Odho2v!Co$}hL~q%4 zvk;?rIE%f6j3cpbfFIsC`z0Lvlj13E(bu|DJz&=%(&QqXpa@}SvT}XuD-hrhR?Y4h zz_7{EGo}B+!Pex@0zCo`uP9XeFmuJ6Q{@qB3}*X1_d%KaJ1iPf*y9SuZbQSf;${7U zJsI()gx@SEzs>x!QVdQwQp7#V+RrOz-!q?#W09)27SkWIFr`Dg8|r|(7B0OTR# zRzym_#UAww#Mg!tz2u7!?)_jbPQs+E)Nx{RsHaZh8OXS9$J`38U%p4x8`qoL`x+&Q zzp^er=M@;UVR~%@kkYzU_dwu}Zo*NZ9vA2r;ZcY;_?Uuer#_Z%FU$f90KLfDec=$) zx#y!uo414R9*+s?8NnM8Ums^Z+oK5120mQ~Ov6r@6Y5&xrynbz0zeJ-MS3zSd3TnpJ-nsy0)@_U9WV9k25(Afh{U4$NJ)z%% zcuUnD>EBFUF|A4OW#BKz`q%ln&f7TgBbrfOBhp-iyPSKO2ksv4HTMVVc5#ia(SA(4 z7P+52?l^XXxggOP1E=sk;4m(wQubNyes$l|_? z>$d6N`C?A_0U;Op7<@l={*gp9%cYh%4ear|UNxr{U zxD=?sT95ZB(g*t=>Ua)zx(?8%_T#^hF2CPuJ)S50`2ECDR>X|}B}Q(>Cp!*uBl0EK zRl}U84P!UolFBB*cT_ucp`_S}w?*b4(T5#6dk{A4hH$)XrrsibB%hiul{#r(YT#n& z`gX(~N4pPv%>A3l7EqfXTfD`thjkctc?fS?|81pe_mocSao(rdW*>P?@9zHlk>lUy zh-Hs-|M9q79r;&>E#n2Y-a{z?KfVC9F|NW5?!nlumdB=|y}w10su!EZ`u zHCzWTo&{3=3O$EV|CRtYm^je)S*nd5Zs3`>LYe<~iq_V9g6*S8F4N*7Tvf9Xh#q;I z4aZ3T20YIaS2Osyz3<=f2SEbPT{S&csKedO8xeqiVtyi#% z*2VPv=EfjPGyDX-4Y9(d9mHD_`Z2$icKqFV#3x#g+$-Tr{S2jFQ?liD@=f)U)BY3b z4732ksDLSFhkN?ZA)u*5++GqAU;;ONFu4Mc-o)q|I+YJJg!GO*Ln1YybPN=c_*6U{ zb*|_U{Ywx(5T6{R_O4Bylp2*4{FVvkassq2;L73|+AFua&$a&R%IP_$P0d^CQ@4v? zaqPgDRzpbxZXJDHU8DZWVV!%Oay_bHx&f!*#W-*5TRJ`Ku2k!`r44B-!nU`wr!#lnV@jLEw%KOPH>MQC;?z7FE&A*h7 z=Qr5b*w>w>oJXIVkCD7fxL2+Bs86BK!7rnlaJ4J7Ox@B|EY*2RH}q4HHPL6vO5?nGDnt1#x?IFsF^E6*&a!Ox;v_z0&X&Pu|u_N5Bav37oy$_iE$pNhXKDJnG86*azPe>og$ zlAUNjSs+g$>oRalYoX5fogR6Xz+(4v7T(CnIX-Q;lAX^+G9UzJAPfb1NHQ@ z8`DeWqw|yfuJVrYZuU<3O!w~e4)Vsi(c2x*j6%r12EiQHN6vcD~DLz9Ja91OG0CyBz8F6n4`2-e$jPUzokvk|q1v zOs)8-|GTK80if&8L9gZxt~$U*50^SX=#I-e771bwfQ}tvbjRBTb=wc|iW)iyW{)V_Ur7(>8%VZq z^a{@_sPP5s>mNdoDcX;Y9<}fRUKQpb4}E9=nA}I49?tkht{p%@zOA&+kRIUpjcM(l zamSwgtGU7T4emB3@d%YCgclVq3$$#AtRl4i3uBywE?5I~f|KB939 z9zr0CAcHu{JFsIN;*P*^Y<3# zCB!QVinI zPM}nju@nzq8uo!EFGz+=R!EpA%cd+#tPtm^_={Ol!dX!YYFSK*DKuTo+bmBdK?YM6 zvtdE)GRJ;Ve9s)vQIu%zoYg!#?oE1=s-D!07`%Vmf>u+cDio!_ubkP9fV~`TUFyo@8FF)o zwUNe}>en{mu%;mhWL;J@mdgobbNab%)jn^1@_C=v$G>}KM;~mR;F*+bYR6D)jcWcIu=d1tQxK$nHD%ep| zv_YTkDxj5~a{~m$9yr&Z&472KutpHBAZt$NjOG#H9r7zUSCH;C##;)mesup&RcK{d z23@}rF5}r4WXY6-otNBKaVbw+qYaFdv);-y;z&UYq z>QBl$bOliPkHU0$?s=~%SXD^rynOESn&7?D#hqt85 z;^M!{)oOg*0)x?0RiZJCSx3w^sUQ6Ry7;3~t2IwsEjDX>!eVnc-Oe|vz2b7aJzvf@ zYx94CfFSWO)tSLT;V?L@U&9lMMB_Z%uZRvu(B#N7*exE4l>W+kS-(e*nx)Qo8a`*Y z|2v^mS8p-DPb`^C<$GIN{86ue$WWjnZP{=OSir z_EredR;5mjt@)2RhocYNoi2}(!<)T)IT#D(T~x$JW4 zwcU?Y-F+ju(Cft~O=odUV-Hpk?$!qfBBJIl0etDx1{PWJ@upb2M@yA;4_8}{ zS1*?p&!@J$|4W}$d993s2G*4)17p3B9NV+#De5CsV*6T2)@<-BC1bC_j<>EN^9GKx zrF6cx}EuERF|4xSEsRjlkf@CCn)#$@li{e zfsYMmdMc{4%rPOMU5Hhrku~ix)^a6Rd!lFeA&f2Y81GqV7mo5AGtT<${T+y|GKPPD zIz+1LE!udefxR{d@WLWwU{m-ic13ml;|WViGfOKS-9sU+tvB?TDKpco3DmKax0G4c1qL|Te}XL42Y5D6Vib>v&bQEIckVw-}MOh zq8ySj?`M*PAWxHG4S#vEWO%2{oct24Bdxp#_s_9N%KqgiWyGh8hI4xVUkkU>tnHLu zEB8!B0I;dgCZpPK6O)S03;88NoR&DmWcRb>*+W~D;GMDz-p@*CJj($EcremLR2! zU3LoEb<+ocN6X?1O}bEYXCPSGLcs`tZFkwRXOZaP>Y?gk@e z$x11#hw@NV9XF-$$Rmdtb42-Mz}j`Xb^P!GAR0}?`01`BZ%ivV$msc8`0&nBI{QL^ z6swp_nq}tcx}B}}GOR`UVAAYAZZ2!LOZadhWt^hvtHFms0-aP_bb z@D7L$Fa$+t_ig^89-g>bJ^<(8+(Z0BoWBpx5}fTDUbA>E)T z2(V};;I}Hdk*)6oo6jF5cg<7X(^7z+iRJWTvY&-g`eC_mjwpuTXwmqeJdDgJGVfDJ z>_71f%KEGZwY zW%6rER#ZCUQSk6fdc`lq6g#6+_+%%~5SFwTKN2Wric01bGY2Gtil6%~0Ba~n0;0H? zI03pUdS)lvl9iAYFUd*z6f;L8cSyMACwfk7nn@Dt5q4_YcMHDr|AXda#egS?Ut+@m-8|pxKyjy&Gt?$Jhyk0uP0HM2(!+a!+dg z{m|w*LaC8!}70LN&N9SWdJn(^c=C3=7dLSRBzg=e&2U;Q1BB4KSpnChO+b2=We zJt1O*xVRS(Ls;3N%tBlS8A)eI;;X^-fYYE_m$6W-_E*@KBra1>0Jj~x9>|jfVIh)qs52d&&o7#}`7!Mteaa$yD zX`|!QkVymia}>;wDS`#@6zPGK{0249deFu9V11?;0Z89D0D#hxSmb>F%!apW5I{m; z;iC~a7h-v|5@Y*?gz^vd-R?}~>_`;$K`A}867$Q6oUfJ{*NCf)O1QU((sNcA$ZwzZ zdvk)RY5ErMb>t=NUn+?WbeY*=J1e{b;19P*9I{t3I*arFT&;|IJaa2K8Odg?_&HHV z<}QA}uiZ+Hf~mP)t<$xU^x&uWBkjKi#`c%C({-X9o?gCHzZjCo6zA{i7I3_g^n%f$ zWwz3ipQ%f{i(mK2_>Q=eGw?}WBSY++T=!Lrhc{^e-QVobFSO$b`lq0=D$OO!DUQb6c`Ky*)gaN66&hn=E{P3&AI^S>d0t) zl0}c-t=ydf2r#Ig%mT?g_5y3BU0ZI^QhnC(q3POIQ*G^S*I@H)q~1~6yy`8VIa#*x z&|B}Swf?>4*D9xrH}2Bv&4D!6@V6}$c2j7EJZ>hRQJPz@MBmj$+%d)dICS_x|1r$N z?6!vyb=Cx~tynG8h0+b-9IkZcUW-y)$Fn<$*c4+Gsf1RyerySDib#?q4dC%pz8uqo z@U1gHAfCA!V<_&>YfJr2E3>jQ0Mz zp!g4&y5NGi#5GT`feq~*H9N6>11IpT`|^#DN+VEq)F ztN&eVI8}D2Xh`jyr|kL5S{oyzzf4_Dbl|l;JZ#csU*6Sq2;?5FoRvH5-$6co-W$WO zdSimeKB1upzxXwKkm5nmtx?uK2a=)bwSP$ zvi?79eWpUJ&Vge3+!Qb`P;@YSD82}~f!zaK`kMM9Gbbxe2|Y#P$Nj9H5~&2O%88|L$*x^|ubm{)MW(qooTD+9z6@ zfC!;STMGvdIeUmkE3v3U6zOSx+@D_-G`nJUmc_itrenP3VCMFgLKcs zMigXe6!gfRA1gr~>(6w!1H}$e8j{*6J`|2gCjcF?*pKUnhACi+eMaeez`Vrim|)DAA(u;BIS%0%sa-&0PRALlizEs;JaAb>g3F@;kwr6ugf?Ci{2me; z%nSa7I|Du&bVCl;X#Ys1PcvgpmmNHN-}XljA)zb+7VdkgmCXY>Zgd>6LBSJLC!jl< zP%q)u9_&3vSz$}PJbL85w94K69N3xsMO`MR1N|+Vpayw0B5SDnz~Gx#<{Q}d{8pt`K1tJw7x>pJGNs@_UgTA(ZV&Uo zG=M;vHr5v&x5%Met#IhtKQ0{9;}pNVnBzP+8$(<)$&e9*gCnepfKI~5F9n;Q)45T?9jasl`PL&)v6ap!vd_ai_{7YjiUw$#ep-&?JVT4{V_;$)oCgs%u`QF}*bM@N@j zk93#lM_pkkuW-QD{dz_X=ctfgY7EVFP%(4dkipOc@7Mz$8ii9SS-B&EI-f}#Lc5iEEluItbV(joS zQyS=4_E#(+>}m1Yw|gC`YlpI#H)6Uzmh_V)FO}9 zF|lPIbUN7Zz6QO@)hI795kJ09-zaUNOBSs$J={Wuxe92$%x2I=H{3NpQ~^`!nt~%| zf%c;)R6S^%ia8m&%M1Gw6Cn!LW)~0o#Uq1)g2FRtss^; z?M)ctGsRr)_6+81oTK_!vHN0| ze5n9OasQZc4FUMSQXsU60upQfz;Fu37X#dZ{P}dBJ!1LeLJLrw4JLjGDBntQfaUMW ze(RjA1k`0VnDPgsj3q-o^$CjK2pS)g2+uJSkU0f_nW;dq8$7-hA%9rkUv*8ahcc2^ zVXG_d2h02t)O-~pfN{?E+GlIj=hH8C@x=k}{~kY^`NE$2gb#L4lLaOqBx%MEk{z7z zK!lyd{*p7a2;nMYLsH7@{8)jBRisb-xu$IyT1lJ3_C&2tGPFkq8}c!wp)lN{ar0qh zfY24&0|ggPwr z!EFWLTQKtUraCFB+C7%-|4}|Ch3Ui#9+Zw$U5%_~Fmm9c0ui98cI~$R1ZXHF;obdB zXp(;cI)W?wIG2`LE)Q%-`Ot%F7rgO$UtKD2fmSYOgr}!?16RHT9uUh9a~Tlw%#jjv z*_8I%^@~P}))@EYW~^qd9Okz&O=xNw0!u8N=A7&OH!knynBeW9e>>6ejio<=X2fcs+lf|)P##rwgwa>jq zr9xJQwmf`_v@#I-mZ>2xIg-FvVpU=lxcP7zzJBz0;M&?fyP?R^Nv)U?Db z%ev!T96C{QQYcJ5J?W<9AjQ~GOrs5B`!eYZ+2ql9OygI;`{l-PJQ>Kp@b)J&Sug#q zc< zHp2xjP`?yHDGG%IZFvO%CVVSGyA2f30rqr=ONGakO13IN+FkN4hjDwBRsVyb+0e?+ z%u04cYzxyO>8OmjDu?G>r0sXpBW6_DY;xVBTWqc~fvZ-K^5UX%_2j*dfVF$)E`F}0 znY9wMG9m0Z7{m2fl5C1JNSIxI&(liw7ennKQCumgOhHPw+moW*u+lenPXKQKuH&HT z7-$Eux+nGCT#2GC4fSwGdltqrA2QkO_?|(;U;4n_1mv6(9r*sg;}dL63|>6@ET>!q zaFi7YE?`P&P{R|xB;qw$5^VrakYgJr*BMutL9SCwTWuC$W9uGuzH0l>eeksACT6H{ zV&i`g^1bT!qgtllSd%;Zb{PuX!H(E)om9p*>OqZ+-rd<9%XYzZfhY!oXM<=!K^fM( zI{CW!e1DNr9Gi1aMx7g+!);JjsZ9M%_^spG7#2-jMIfUJ;4|;w1cuP1W0;t#RFNp-rn1tn;uzGKm@u(meC-OgSG=ag zF3Hm5tU2C`VDRL89B)s~7uoE5y*`IWZ(e zf0!+C^`fB0@+psEASD593G!J?V+@$i89OxlTNny~GsHnk#F>+^)g+AA?lA!NpZg0C zfHZwl)wxPQ2_2#U5+ds_e2CMgLc)&??lDamJ&2N*q%~FM z4~leWy;FD-cvieSE|*(I!5;394?HIwydmyv@*SA!Gpu{v}o0I9v5Qf zp_gTtWTG_8IrTfP+OXZW3pmPrX+lRZvP0d40tKE$UpdDd0#L+%mwo`m0w?n0$78v0 zOiLFF>^bF6kYP*nW$2X`(A->D5RFLcZ&yguMa0XBKY%<1St<}W#n^Fsa*g?NKy2yb zNdaOU+;DNkN9Qw==Y5zVw2jwi#sUkSccc#mDKkF0Dp^9(qMJocdTM+#VL@06Yvns9 zWb)&YW$!e&zpanN4@Y7e>^(?CMw-P^L=S0_m^@rqo8NTRoop5_MswX`uVUT~gW_yk zypHci03bG7TgPx5&+duR!s0yM+Z)o8^89+ccT<7rXwjG8Io8QOVHyd^7WazyaTKhU zPpnQYGC7q!@tt>3Rm5fQrbgM({K|$_J zKOlY<0#cbP=FF+5dg6M(>z$49#}oIEH3TD9lo{uEW@NTY9uRwrfqVgdgYw?fRvXn4 zNssIC5`O0e_oAb+AH~p)l%w*T=68Fd3IsMtB1wgy&q)a}rcn%xeIiK&M_7;uDig~=8?ITqA>Am>~xgc)Np zP-_+F5jz@8VST>OTX$=~SqOpj5TBLZ+$ov>RE$KX(UCE2!*~uR%O-ch4!Ah~j-(CO zMthK)&`euQud|0Rj?_rGfKPxL0zL66s{x42!WT898yd!5KAP%8Ym}}Qeu5Y}R{%@# zx8m}^=J4thphhhQTfS%JCd9Q_7e0V?QTLa#z%oZOO)*n5(F08s=p+hp0d)4uUQcfS z1u8~38;49jAU*Eb_9Kg{={&xti#W=noiNx@Ngfj<0&Lxt`iJtgC~QnCu1Q0|OhMbF zM6ZBp#Elx~j|hR^9xFkk=YQ3J1RZxp$UyjP_Qug3)dv;1e6BOb$mJ7eP{e3?Oy6wsQ0RSNDct zK>kgB*nCm`MR=I_RC}2F)M9v4wFn!fgK+)Y#jDK}>yj9_X}bwogd*0PIsPAPiaG`9 zP(%cTDa}o*3?S;-H5G$+m(cF4KE3?lLkHzd6>uVw{P8;I#>l$qMtXH3>9u6kjj5Uy z2a1Z0gvlaQ?Mg#PC3T0~!cE9G^26ZJbru^!bf|Sr?T|OvYH)?lbI0}Qqs6=zy^Vvu zu*h^#>4`T+$K&S!)n;$m_)SOCWH1^VFRKmIWjWS%zEjW%Uk;Wc^843dLuFi2tTE^M z=gHr}Wj$nDiZbm^%Td^#QfR^I=E&OonOL~9SaXO$z6yQGC2`vuEctYYUw&0%J%8<*Y5)Zc@?gJ$VsXjU#nU z15DT4A6noBEDeds=I-Y1AFOFN7-172Mj+GpbL+xFbshmG39jiD@QE=)RyhVK9x*1l z&Y|br`)hP1LRz=>#p}JHYuVG{+|5}Ne1hTX0AMcj1H_BkTDglXZV1MD*+7Xbc}IDP z

M&zIfF_xHg49^uY62kIH%yxm!sFbP$Dpkx$1GB&nOaF(mp0smCyOUM%L_99rLu zhkvsN9xD~BkWs?I#ihwb*%oi@l2Nv=EvH%ZC8FP8E!vcr$IkBI{G9IQUW`U&^bkAV z-cAd$ZWBSl1_#6oP3{nP zi_T>5*pGd3Ebq@ucJ^q$52eZ|*fFR+uq*Dz@T)3`ky-V9*VOv#d$1|@3z@>7~$5{G~2d9$|!|Sm@~5J=^j;YAEvfn5<4%$9JNeDaFQg( zb%-og1!|G6@+JaVE-@bRtd!HPc0<;9j%-%3fJQI1abKTxV;wDX#VAxq3J|=klWZ<# zvrb5!OoSlEx1!@akS)j&!)g+*#O~VW@RprM+r~&7ei^+eBfV`-*6^}kpT=y?%(>}h zvX^-Od&IyJmm6#n%X%Hm=N4 z2n#%xl)WVO*!@NXa%#^NwC*VSr}DXm6Doc>uU?B#4{wM;*QJGnNS@OiQMHc3iRkHs zmbVSu5Wr%>XyIxDG+r1@-;U@Fcn_g`VG+xhGGbCF4T!3S`ieg$I@%*w@i@{YLOu;Uztp3bT&j`?AQ*v2$>-o zYmly0!(aq}0?f9UULV3JfQ$mcD4;4a3YMHd=Z&_7`q9 zXt`6OjXR`!On_~h^Y3zVvCx;nY|?u$fvAH>>?8^yQu_j3`Q-{-`fR6H4`dMv@{RZL zoZu5I?G=!*plvRyKTquroB=mL>=bbN)BpLy&}zC7io}b_RxP#6EUIz2!MIYfj;xea z{V-($T}jZ=QWBE=5Gh`&CK#BA{K{8@E>G{U3@dLhb*P%Ydfh*~?f#UHjZ3c@1{}Vu zw*9Q=xERZ+O$^Jy+`(#!+4JU3-DOFN8zaHK?^c9heCz~sdXcK!Z?ThPP;5$PrS)-o zxS(_#s4>&|Z0#hXxuDVbO6XhlE9+Uap1nzDORdgrO^D8n6ptLIzOk%eZqOWOr~Ruf zx#G!DCW*nuQ`%)rTH-ehaxt@Z>njmNmRXB>u^h0w>T?0 z@650d8a8NsPoH~>t~N=i%|@yw$!HG9z<~h*lYlmW0>;+|?5-1n05)yd(ce=2HJRIg#hlY_|XIHDNV!LI@is;$wbaz=7?#{V-PHW$xW-hf~3Dc(^qGpsN+MtDvtO6Kp+uU6Ty8qnhJ<6Tce#P@sTuA~1J7e_ z+brU)h5gx4f|_8#bP!_;n{E2f_T=toZf0M}9~juIsDH|Wqx==p((>e~;}P1hfQL>X zA)g%47fZ>UOVSuQP;CL6^@90~x*i~(*6dkGvjX{}%83_b{L$b64-zE9UN(GZC8n{w_vIc(nRkp|V zRov2iLz`c}c0PqkWF5r5e!YvuCx>>(M&pY1S=4IzE~@hF_~gmaiY40pz^&4xs2$5g z)i-}>730*>DnyzA(F8r2i~6aGs1P$$F>i6EZEKJr3STqY4ntg1mgTbef;N@DixMD3 z@?~H}-@~4p#ub1W3CE+cJq)WJ)otadNtp;GbT4AKtCYNc#fVIVp{I6N5&g#A#29fB zP;%e(i-|}kBoW^&!jYH>Fu)x9BqOJ>kj}gF7x8VimZ(`Cl`vG*lp;1*fh}iH_eOI9 z*i+ER9FIfR^jU}24i3>6>AxtN(fa8Yo{m@gFw9-Z0rjYQ)y4vOHLw&~P0CmbP!rI-y(88y4hTw`m?7bGpfOo5AgbOxVI$+_fG zPQFj&ez^jp0+6G6AS);?mTV+V@|(qs&})oNK4qmw8T=?S*mRru197(e;se#dLuuk1 zK+hyiG3l6`FP%XYBb_N_jBm@d@r01a_nM(2YNFXuGBb=qWxNvgpY{3^}ZzX`zhPiOP5IC-Wv{$0xk=0MT^AvWb?R(nN90Oz0hBy z?&rjuO$#7g1yn-@+t~%9&M_sf`)8w*GqQ(Z;S%sOr^nF0qDo4%yT$6BO@%@%4nrsC z3JKESI(->N;><+a;hUKX_M@(Gpgbc~OQmg`x)vqH^rMXdsW={X8~1UXtLNJk-iP+L zV(%;eoIew-(>og`ndpKs!#_HDn4a1f?|X`AlLUv0_p^s(mL4)C1WlipT8K(YyMXBb zz5%>EgTg~{vk9XQNCrnlza?TpaLlL*dU=$-daGR)vyStG0KWOZ_PC&qoL!iINia)@ zKeMcud1!q+EPix;xO;v4_Oh?U&cm?5HOi^TEy^y=(o0KH-cKV%)U1w6z!88Qa}H z62_sTz^9BIm(9<>nD=3%(12tsISo?K>o;uC$dJ*5flf&L1kzoE^)?%6qv7dO?GZYZ{!Ok8;*>S__NSpP5b z-a58&r%TsOI?T+>%#7V(X66nvC!G#=n34{7f_1eSFdggYl zYJ^8U$;%iEUsuwjQ&~0Jci+>c_#;@Tq17p{p+l+qcz<71aFp$-^g65EU5pz@r&+B< z3?F$(8KCoMg)EKxj_5d=X!f1KMJH@+bwWC#a<8gLy{G)XT}^bLMr{{RE)2Kj{FKqy zTakre!D%lJUuNR3C?i?SV)X+r?;s}dN?hILw?*mc-lItKAdy|W_uz@ZZr5p+L14Lo zrgY;Lj9$eU(gmTHrUzXAr$(0-YqOwRfsDf=rLH@XiSRH|&vE?p&Gvy^HqOZoB14on_(xL`y%x0C0fBrEazz+9IR zDn#c}W$V$@Ri#Fy|J5*H#ATT{3LXKIKv^JO~`%6elVu=EWvtiPXh!BNSw>o-l4pmjSYoXBb!wieqEL|{zE#h zgiTbZ%t!6w)_Rjf@NrKRN=&qsU%R`xCD&2iTsLwf)2%DEzz)r$r0wY>nz*V*WN9R} zQc{NM!|0V9p_D89OD!`7+~bXTzTA_7lKXa1U%X!WAMC&4EwyN{0_1FiR@RwO{ek3= z1x&qQOu~!7gnS+(BQv66He0^S3ul#&6JNZ5hmcLVsJu!sZ_hiil_JKsyxj+**Idr*1xkfqHDA^Sj zT9wGP{SnsdglbkVM=WLAMA`z?9wL{{0GL4?n72D>cU8G}dNF;8tn%9o+=k-tVk@7a zU*Y4}amf0b=++)%Sqtb>(_f5+&DwVI(Pi%k5UemnF zUbkK}p6L7%a};}wDr5Xas+R8k1SaQlVjJD@RwZM@YZuT^ibpvYXajP?l*+=!e;6m0 zr($zaD~%?7Td>-|?WvrBrY%xNrjDAi;=olb)Cq+s8m@w|pdMTXGjOg*u0SjUSEDFf z7l^GcNHn6&jaLJQ-+-q=@CU_%P_+x?&_VPJS8SPWTi>o%v*^b61YB8>P?BHMcF1%c zM!1Ec`o=~Pb!N*bRPPRFk&_BYkRn2sZ6FlcDok|tE#vn#^Ly$>hYfi+^01)v()MXQ z^dC^8?m0QQA|~-YSA>Xwrm#^#HcNo!Eoj-cvQSn4K_M;@|>$90u~zV?m8`Cw&-l>;JS zD|0i`4)58|k|YDH9EU`5f)T*k#~7P<*iXZ#T8@@Tyn=YNoJ+x0$OUUv%hZYotq96! zR_EvOZH;Aq>CVkRlm)H!&d;nWm5CvYHr^^PYUa4wENbgVZz!89oPY327%411Pv3|U zh*{G)uIN5Awv}n|(3jYGE>_zSi3zPb43svGq(7${r+)|d7UMKDxCG+NCe+*D5H@)K z9Bn~Q-<4fDI7vQPJn7|i%(4r)NSB{J$@H&^w}a6H(v8cP;NK*u;m8YRu?{msdu10& zzx@0gi@#lSyZ!F<|=ENc~3Ew?!Hd9)s zdBKQ9$5y|aIN{7<-<^^Z)hXnHpmXoXTKuo5!Au+>)?2LcOkASI?@m@b{tO%N(mK1K zCAL>_j$mIO`Qoi1F_cZSfLKah4Y3Jy&kdH*e)U+~r0Rkt9>Dcq2@Gvq9vH~ap zbi?RgiG-xbYE@K1PFc)YBV>AEKa9{;%_I!eWru+_rL8^hMMjnlgWpe-68qgNgNS#({w zj-LihpB#;h26SR&{eGNZdpoghzQWj{mF@(S2VbS-=s&Q*m>XSWD=w*`E*^fM_g2>| z@SeHK7b%z?EEk_s>a}o;H|uCpeXTcZc8alT7B^lPpYxJ~(A)j-&iyXinMrbStzZRf@cP*J#(YU}657mMee2zuqa=mKUX7bZCE#vhH8 zbr|X{qKq`q9>y$EiGB*L=&L%cf2)yiadZ{F!w_#{q1yNpZMIXSJruu8T2oVB$Yizt zK*`e(xSn;rq%VN>;@#^8*PP=F49!~c?McQuiqw}rT-E23+6}Y9%WL>$6N-0xr9+e@ z_y#Vmj<-J>bc{AK=T}8L+9+t7+yqd!F|pe}m+>s~NcH5En9kc}K0tPkyNx|FzD`JG zGGZFi{JH&`27-(1mHkl+*c)Ox78 z$861GLypK*!Mmf@vT8+9Yy<}gB>b5weZ+w;iR}Lgj~x+NByN^zArbTHy$jt%uEMu)8&uMrUm_wCRS9;zkwc{N3g?*OuVzCd$5+#QY$5B0b#A zx!2E9xJ=Ad9cpyAq|SxDK$czZ17<)k2&7T&Nb%Hw&S3_kyNJr%a_}T(@nkgS@!#H*1GkB9-kd zcNZjYC+BE)mnG@az>uv-XA>uZV%93*R1zlPQ9z4ExT^73(zz8>jdI(n5E`v&pp-O4 zP~UP053IJFHQ%|LD2(}9s@;%lq#dxBfo%T< zV@5GER6N8PiqIb&YMETdL%qnUtv=b-4pjpYRpwfnL zDUZ;Jqw(sJDzQcfYcExxb?#3KC60i04nIw$mM%YKg`C0MZ8@h{0G0-l1dbKXDWg{m zYyZ}}9uj)lLVj!Ys`*9XB-dEtb=|e=f{(MFeRNx0Yd~96YfMLuN8wBIDOW^wSF@dy zv;FP9{K(i@bq$^Uxn*X&MsrkK6xH{lloE|m>SyGs*u?y{BG)N$gX_2b&SaZLEz@M_ zwuby@HgQHR<^a3^VY_bW9pub!jCyR}7(`1{YDN`YtW?!yikVan8rBq6LVGZ4F;=8) z$2pZ0ykd`~c}u^Sb>||TrOD)!T!yQ&a8_N2B56q8y|oB$Zs$3&AYs|x*fZ&dcd>P# zhfRmigs(j9nOI)RMt9Kfr(0SF&ZIYfZayRadO~y+uLxtqTy`~ax;np?5Y%+~xjNQ2 zS?#;`y1+D*+GY9LNR#ox)Aq_5{4j;cNZM-fH92uzK6^Ujo0(a!Ci%WS-SfEV@yek4 z{tVKKK|{LHidFe0!+@!i9K(Tn6XdN;>HSJQf|+5eg3Te`K#V%gC)TP}HT#t4H$JFk zNWoJ`KFX*JZ9Qg{iP5OvJbS%(znIHmW2$cTAeJ4eU3=~NF(Ja`^gzmdY-G7MLHMqn zeiz9O6yINSYmF0#9ny?%IWggFRiK*dN2&S-fzU$612;Q;FcEnksa`Q~%pt8Crxkfi zNBEunc_A7jVbDbfQ%AjOE2FlH5{`PguJn4vek82)*SB?`Y1GEFY3o$}cQO6}=g95D zCLznN;(o0wG~+CbVoH2{Fs-wXe;mvTIj#0(1oJdKdcqqt6_u2Fe7N1~M|D>Dh$aB_x)ciSJ;KvH@wbUU{GeGtpcLPW`|w@q&ID% zCCta~umQBu)UG$&q4eKArLBsCM7z!ga%iqD=f*3bqhxK~y0u?XpE%3et{=_Boh`|u z5Xa)D!Y=!xf!y-=Ji*laq=Eh9zrM*Eg_6eDQt;YHH5tc$y;X*VOSHqXX!f0SvROIo z;!aNdrc+HppT}?q6-bm9)MVMPX4AwD=-l#Ti^qs>YJc70PJcd}@Z9p;dhW8{#4Bqw zefUVby)+p0zMkYWu=Aeox)Zc}Zw)cf-xTSHJ;hlB(F3hJS5M9{RQGkJ4v z^-I)Dx8tywX79wi+A3Z+dlSh#B?xmm^Ty>0lX{0|viF){7Iho(yIz+#p<&2A(z1g4 z4h&(+t(tHV_V$tFtqrh=u{xq#{5ZaAFiM*+QUBmG=@rH|{!{o#;X{UHied~i`2af` zi(D!YP70k4Vv`J-f6OrnbH%uBm{l?xjb%9HjW^T+K$=Eo5Nl3@wu4T;Wbvl#z$7cM zDBZ6rydFY~WC`VcOV^}OOn;KK&*yEznd)w4R_x0xa0E3>OTR)0X->3}OZ^ADpEH>- z3c*-NtDFu|(#X*fPl2^<7bG+Ka^cu=3f)-;d;F4FfrO1@pJXaSI0fUuW8|cu)9MeG z{GD`OiNN9yN&z<3k=!#8#0WyByTj|o8L&p)_9Y42Pcwx)B?33MnV(6i1#u%VxzkTX zUD#WJ25%N`ad`AoA1Ce|o?gEzLufjEGSB~X;mqd-Sv~4W#6nOOCG!lTD&NxYt#kKr zr{KuQ_DEkzBg&K=kcfe{fE>)q zqaQN1YGPYum^A-PNkb9npYfP+CcBOEOq&`uI#VGL%YNOk?Nx!mzbAE3cqjE?o_-)O zrWG}U1#lYCD3zku(O2$2!qDmgXED&<);KUuN`3QyRDo%-sOd7Htd?`v@QH`#shrB@%>hcuo#ZT{7tsGa~^Riy6yXlf+{JIGIbtK9O%3%q>JrV_?Glz9Di<9J zM{;-TRp~?Zk;qmE#AR~kT_!zGo}9&|Q?+{4M8*K1Jff9u9&l>DXn++{p35Bq_ecCa_MFCd+aesw&WpFf}Y9C`(U)4lJK|9+j^EpQ*@Rw zk?+|7*QV#*ea^RTMxvNnsy_y_w8Ee0UVlgDS?9c*(@e)pd#A=SjtmaFoA-B6Wg6OB z9rQjnzJs3fg$ij!>ZR(Z0`^0CIp@XamEt+|`^>3BKOys0pInS=js`F9}7QT5+@&ELP@ z4yi6a_P#w3ZF!tYe0sf6eahyC>5%ApV6^OsazPz&w$zR8I8~?V{B?Ec)J@-`D4`CE zp8zJ>gksbsm4n6?rF)9eCtKQ`n~i4ra!R{0xbxr@WvjMNk&jHm3ab(~pE zyymmWGlb!v@!VrTx^@>On4-pY+<-+XdYq5i3NRY?!`lZ{3hGR0%_Ka(G9!JzI8*0@ z*uG>w-&>OCZW9608j^5yi-{+uYlZDaI<(mizTFoTi00jj zGU?UoqUKe7Z|`Pq)OaCg>Ab?DXjDg*@TyJvoAtn{wAdkRV>$n%wt?;s)Pj8FPk!I_ zPu@?)&o!UT9j1G#H=@^8KntUE)ksH(Sz3HkBJ+R>oHTGB2Of7KnpS^a@A$r)G7cgv1YukQxJ`a31TOd4 z--DKdyMblIngX4L_^1=KC8x?8v|}kNjl$#$&_T_r|39VYhNTHB^h!q>+ozP?BDxN*L)+jN|Emd=_hKAabh(1^Qfj@`B6B!=3MLw5( z&0ciXTGM#>+hGM#(^L){5RbsX)3D09IX>KGZU3GVee-7b-I}z<2MBz;rVz_Wc9Hp3SN}$*8hiv^m&Ep8i*o7=|{jYU+7!>*-sId1O#=tJtt=|u#+34Il z$7^sdV)06F)i!I`RqY4K-cX1w*4EO5?vkCVzKJkfK|#k)Tk9MT69=vkfyo&?R`S#K z9Ns(7w8T^1#KI=*%_%k}+yt4YH|$GojzPx{w97z%e-ED@KKq=`$yf=AxS(Kq%&i8x zVgnV4c+bfiYBwyRNt`BBfv)otOFiqEQY7OVr4YY&@%7(m71 zySlm8m-jll_0TBABeTJ+x9?)2{d z+#x*U+?Bq4<0U_jGZ3j6Cdz%>-^x`ua6XRIr6P+s7twEpR2yM%;`1LLM0Ih`U=V^v zH}$FDfjs+d@SCFI zY$`Eh!^f#X*swgt(@@(`8=O~({I3k1%!ZMa1T*~vIQ#7hk~*C8Nv(!*u@!(imkG~z z?3jpnY`YP-}Ue&I@W*-#C!mlj^%+41LHCQbsccMc3a~>~`hjx;wf@9cv@J zVLsADD(MUPMr7>>AI6qqY(sSM8BB?9jBp{`o3vWMd>JvjMKbiTphY9-P1+z!qpD7v z2~_QY)`y^TR6%NbtQ0LQ?qu#7hbrevCtFO9e!(Sb-_BUfn5!vX#I}!s?^Fw#SsbN< z>b5nGs_nS^&WaJ%q8)Nxe>x4^QNIuHn|cfL{6V~#TJ?q3x_+HKT0e?5Xq&w9O$^ti z*ZYe_A5R~lE*7U&f_84bA_(VX8A6o|>1i6G_Zp{y%+Yh=w4eF=C!GpR>Z^2G2&ul;rJr89Z0 zhzgm*U1l=?8#iaD!u{R;I)QKQDj=}MF7#^x3DwS_XjBi-=}M=pk6H<~vO|cx?EX6Z z{Ejv?(~`^=V$P}NQQ7sw4Zs^qzN7vy@A^T@{rAD@@iViBustX}P*o_Ro4*>VJLrYmI-FTNsut!jr)a*Teirp)SFya^mVSoXR=Ko%IfOKfq>025l0>9q(A-_-^S6tt z)A+UZCGFhln%|oK_7+v|*o|AYe)7^`dH$TVoAwrQkffp}UxPxtYMk-5+p4c=bUn#O zzliSLsU5C8d9{how4SVAW-Wk~J6=TSgVqOXBe|Q{VrdyDtHp=hHs1;~y;V~=)%go3 z%_%dN*Q+fxFj%vtRcwCtz}1>7fqQ1cli-GNaoqA6ZP>b?L!1_Kvv&MJGlo=qMY7~s z0&X+> zRTh!YH17w5O2AOJ?(FZCap%3!6|34+s%vI+4Ym#ENW4`X)wqubn~WMM?Q~4L;VoqD z1#h*s^X{ETFC99z25y@NZDZacN1)GN1J6_ENppejc;Ay}q_xzSBJ=!GaNR%o{@+2T zE+1Pqk{DOOun-~e#f#J)G^^^@4wTX9rZArgN+lVLaKrWKjlvPxZV|cHg*2v}Q%~Tv zk6Qg14|$g&7zbUk4Sr4gjZNnZr>#5juv;^i(nLwO_v#nPP74sE0IeNB>c%_XgH|~m z2qAo2BRiNul_@S|p61!8-uF#URUicK(Su@Rz`1ZxB3h?_p^+0CxMRQ8dPu#0#!Zo! z?mC-fJ>efM7ntuB1TcWMR#N;7k$U=}|JU+D_MG(6HbBase`8^={s-K~k+HnczUlr= zJs!XF*(&3do4QuzUR{b{B?Dd6yUXWq7ay^`CXR_cZ-ojDjGzpH0o{q^01+-bJTuOm z*X!!BHr~)o>%a0b0hz$3hujI*RChTO-IkFg1;Wk`8O3iP2HL_z{axrC-~N=zM$P9! zI{eTN$6|{ZC{k{3BXncU+SNXxOWs}V_sO(i4(54~w zQFx=l41FL}zD>uu`hn_x0>Bk^ELMxhQA(y`0Yd{17|CFj9ae@TA0@%7UsyFgWd3y? zBGucQ@Q&mvN!=h|Gc-)tXkU9-o71FoZb@!G^c$-eNbN^CT)-ZZo-Hiva??X6C9g2M zz~CC`W8Y_voaG~qB|ZWD1JJE2V_->at#z234yNLmBzc1qz(8td^$dN6aottf6UMkDEsJnD>XypU(faT_qNJ*R^xVB1G9nrFC-(whjAKr zj`6)R>%p~^>^dS!ajqSMt)cKYZ!+U0yQD6#8&4lEii`ZM-Dwx_y5FADP@uD9@CnZk zkfNN;0>a&pz01aM^UY=56iBb;>}@22ULWmybU_&(wFC}&6S7B(wIah2>QFs@x$W~7 z_6}^ct5~rj!K_0o!B?t~y-U+wbcKcla6MC7DNCt2i$GrPkC1S;_GXH+ZAB3F$M}br zL}H1b6R&x(yl*7;){^u8;pRY|r4K%D!b*VmUQj47V1DB&8)B+`|m=8ZZ>A+>_yZr7&)0(X?#H zfTtYu zyt9U)4m^yr-6yB+iI@@mX}4`F6jxtfR-fJ8Zgt**C@%q^SAgroi_en?fZ%1nTpm6 z!{sc?eP|X9E3q+dXV{$KuKIg^Vx4OJ$jlDa&WR1I_fN)**hZgAC;?>&et42t_GLSa zJvv_PIO2Hk?jwZqbf}*nyj9=wg@wu=gN3Fy86g(vb{i4+z9y2NS{!Oaa)~-7XY}sj zi{e@k=}z%oM2@3Rs7d3`US_7x?A*{^Y>V0a{?`n2Y|h&izM9(Y)pif`59T<3Ucs0x zE=J2_I+k2zh5NxSe)`5}C|9i#W_GG|$e7rW5~5v6^j?A=LfoKkxCIhHk86|AGUtPg zeseLRQ>2}gn$D3kFKgO|`4bTj&6|gkrP#&CTZZ<7fTS8PM>KGs^JSJKy)Y~`fe!eL zmB4a`Y})-dMRBA!KrrN8;PYoGoK-C}d~|i4d2F zNO1Cl#ho7p*$lC0Qx12FuRB#w$hRWx4UfyWIqhfSbrIz_ar*%o+SgDZxqx!~V7`tx z=b)K#oQ%)FsP~&kS`C{aiWmw>K?XP;{jJ|y@>?vT&x|h@EyYFfs z(4zC5{{2Am<`Qy<77dc={D}+AEOtBHzL(z0fg_DMMPN& zsa*{%dHO3r56qbC@O3nd&J4VLe;@|?+^a2GQ=PS5O-3!jg6D`Eq+xVW9nGdQdJ{!p)m7EL>wpdM{~^4mEumE9*}YaY&ot;XepCIR99RjRVE|ADOizWMG^oCVzt? z;g|naNLrgIQj;`WAJ{7`-+|#pzsfSl}6`w&a+|XHF_^J=vTh)Oy(L)(@`J z!dx4g%%~5uT#z@8{1eJ~QJEu=2;Y^)K1=QPoCmR% zY)(%}CD^`3+w{#C75aVI!NgqS(9}kF#dQ-=L*8<9VAMLvz31@?_9rq>u0UWvnpXI_ zWXC5{KSpu=-R!bWi%ZB6$J>0g0NrhMUlO|-e$oq|kLz%nNQc6W*V1P;f_%Upw@{c& zUaA|PSfI3;9hqn$F>aG|LL$3{l+h_JD?K6*kddH!9Fz{8p~O3~6gsjIC?yrRNR+RU zpe&~p6*W7fr%T0}oG>~od@(@XC510_Y~H}L8awjIykI#o{|Z0lVX@`iIB)U&n;U5{ zyi^wT@aA+JIR5MQX6=YASYIJSNNFWJaGDyakMbRb7C>Fa_5(ORJ;-fqsTg0e5m#wu z>EIhdlZH)zFe4{oM>7{+u;SQ%yioX1+`VhBY>>xf^H3LOgq@#x!K1+C$_{;iGl@83 z@II=zEaR$Ez*`%1!zp&pC|5L-lEjPCZg|l#ZkG6@?ja z&tHb(yCiX+BiW2m#qv7#q`gab^j9eW-j^pyD1J4-P(sDofdQ&ByW<6P;kMB7ktN*Q zS$*(RC&zxcXzDJo?PQDcJ<-pVV;l;%Exc(-5d&z?gTIz{sbAiT6OFPVt7P|>v3xoM zTe<|(Fl6*Z4-yW&j#yOqZp5uGHHIZ51%)4mKo82u=-++N*od~Pmgd7-wph%VKd0Ta zj$KF63aZkS(Bwek8_B~2$}g!5JT3vS-I?(Fisx|7wihV+Qm>hFpNSxBBFr=;bSZFE z1^h0R^0j>m3q8qDDZV@|(7da>r04*$dXnjzEZ?o*H8f<;n7=SNP!{NrX)%>%Bv+Z+ zQc1n5uHNBw7H=9v8sJz{;mC#;hMqw|P@If+ zrxOMOYsPfhTv@XBMA+U@&o1*aqxZ+vM>0bz7W=xsBhgLw+Ju;4!(OTBAK zTXritrD4a6+qU7r;Lc+^nx;x~d|=>^clTaOjR$st-@geI8xRz;EJKe*?S8b~u|2_O z%ui_Uz2Wc#Tnh8xuBS&v_MsmI{mP8fJf`cocqfYb6+edc;NYX{_z~*DrY+wGpVsrk zEbUp5mmsdy5z$JVftkq4Arn+%j%3RsSb>a`=g6vYymCd-*RKKR1HJ`fA`r=v18>5A zJB+iJ*FZ?w(W~<pgfo38dWRe;l^lDm(aw*#v(@xE;3|`OvI344_hDh@~ZH=`x2E^T7e>} zko#g06ii9T%9(SsRO!_4g3gW^_4DbM9lolKra@Q(fYRqs6WlM&2 zBI)2>ON&@(iC!9p4We*s2YXeSJ1dl9Q{uA6V?%)?X>t{9>dk^6kWa-HgNW2pQbz^> z^d&%?WE)ZXnPU1eNfit}f)LTP;MWK{u}I?XH*dZOkQqc|WX2<^o}8tUO5}$=Z&}DJ zON$t8V91|-^b`7|;~>LXk~>7P0+87{;m0~~7u2vv_@ddwc~uYg@*^jIXeu#}nS?sY z%tc8`POw$v$nX5%1Oq3-9;A6);H}?hi9lgr?~g$o$n);Sf?c)!q$z-CmNo(fFsT5=l}T!Gu={7F1M0T2|b3RMj{t4hG;3TV6wiOLshEG~81exLY1XUVK-SO6mcbSaFQjrqoLoW3LF zViCzq+B233woc~XLrMEANiqGgL&3`DRAE=H{~S=f#OL{aD6JwX`Sg}V6|7nqg*L*Y zAq34J40x74_{skfR_cVz3jM<=a<6EhycG7ZXstJ0`5nAGVOdfZNxOIo4}ND6T1ki? zunO&j{N4fAr2y;qcOFL42hkk)3Pr$h6PTm_pgq1cm=(6!w@T)a+*4IE#oRY7brU=^ zgoR*{nY?N7=}|@4M%rfA&L&>@doOkoh-nj8C|T0NBFH<3LN3&=In*)nE?~ zQqjR&9;wroQ%T48n`Do`8hBdVFfnMMX_zXmcu6~XoNzhUR7^_oALhZF;$&q$vq;;l z%LHHt!Pfz$km4L7J93CwTPO!Zvzt=8p+k81vnq{vS4oBfI9xQ6>3hXVD(_)=7D&gZ z4x&UXy6X`82_D2!1Rdg2g*xN2(0%!n+?m;lEF|n zi=P_f^n{?*>1Ip=f<~sHcOX)U;bEDpq;0_A^gspaKoPs5u`O9qqXQeGkpWTYFMp=^d{7izz(J7eFiw!01>eTHJuDH9Lp3PMp_&dcbqG zfy0}=${LZKWOls0(JZ$!x(Fdm52sxGC2iG)$hCpYH&>(*eU*BiZb|WK|Kj1Io1i#>)fY0;xk`@sUSn$=H9eOB z1b`WHnV$T5!)rRQq>6~E0kDd8G*|RNK}E=Q1V(WnS(vD=e`B!@uNpS#pEic)+{_xD zP=bwg!V79O#pbaFzR2Fs$ekd&h>SrP-rUzSCJz4C!jUt6P}d+Rl)VJ$q7%r?5~XQ* znr3Ue-n`qriSxN@MEwWP`KPwx~{V|b8A+UflBZ_t$+IcOJ1e|@#Kj+P9dXRO(Hvd>vSd9 zPEuUrdz_?O)&=86-!ESoWS#J<6PBXgQy;w^b)Fi|m~;ikvZv|BF(;vcFYW`_YYEvo z7FLO(itbzb#ZrF<#`To4qdO>3_(*8mL$ya0?vNfcXUow-V#aBQ5Nx=TPD(^Uf*DJD zNB(Z*>biP`R_iG#hnaZ9&`)3e4bBD+juIpO3U$4W4WiDFm-Z>WQQ>h2-4?44&4r(p zS90I4=ZY?kIl()&Q<>3jqZ{IC06?OZ4cRq;thw+uGm?c zHCPL%_{{EJne2KT-H}s_W3HEsz3tIj;l;+eww)L0oV|?Ctr6h8aTG5MkLyg;amdj* zVDrVpls{;)jP<3eCB?&8l3~b_APHbvNQ%(+*4_OOApYR8>ep>^(2}T&6H`&3E$&2s zUU8)fM~}fqV=P~9vb7P!0Fr}<#g+>m+dI7!4$vEo^!cpWCTa2#Z$La|jvim2J*_^I zL*~Zr+BczE20&kFga)!Jxmf)8f{)}LRJkmi!p}f`3!rbJzj4X(232B}9K3j|O?_Sr z!}Rf+3>dSxxR3|^1g(NDa_^!(%Ft9tL8hXb=)SrdmLBqtWH^!J8Eaf%aX_SE=%1cv z$7k*B$4=OG?*wVwZ;C0*LOQ5_smCAGYb~{xsCdo~ug%J3{vbcblK*Y)WMXDP$XFMW z-jNBP+~Q*$Mt9wdI(7b;OUhNl=+whQ^do9}@jJQ#B%Uewp1e$2-=O1s^1%+wGBl#D z6od;17c29gN{{4N8oLGjH)!*31(rx0;Yj(EV^_p>>_0n!yfr-&Xly;5ILxO(f1dsJ z{&rj9_g7q6&HXk(X0=x3-rDb^H@`@$H;k`_S;25#pR1e_pdVvW@0w3e^nC{{Bk&7CjONz&P>ey@2kaGh}r+c z;y*PMzd|Ian0|@S`8pOACuUML{p~``BxP%8ZYuomROH{O)IVA@zkHsl@qe_c$xQqY z^G*57o&ED;`VXh5_;u+&4({vb?Z2pb|D6gaZ0X{xXzCI%na1b6x1}-|1<&$1qB5Q4T}Z~i$;x$iA(+edHehef&vW|3HbvI zloaF}3Md!~=x09&!9P;Jfr0)b_}?281mrg`aA;7NuVNi!5Ku5Muy5a>zd=GkLqdZf zfq;G$LZCoGk)X1meZAu)RWfw+i(}2hAQM)u>%qigi_a%Fa`Lb5oted^U>8xjqBJ&f zPAJ&9=HL>YQw>OL;N%ulH+9|pY8?H)8U45Ie{xa&OUi%du7bdWeaS=tLjm~?@>2Mp zO8G(r?P9XWzeYklyU(+!pxmbf+iH{)Must=UX8(;CUzhw4P^n_asdKj!N*f! zr#(95Uen5&vasE*s;nd@A0CwsNlRw1;k=Q)i-tzMF3z|^ z&WS_gxID+1T#Cb_F}Yi^io`%kbJSP2VPW=rwWzfk_I_MxD{w5yN8mdMomqR;eO7{~ zPTAQ#g=}J@^JF40skTehdI3YFq6iD-yoM^f+p$p(Y~NJBqE3R`I)uf#K!d|ETRS2_ zwZpz?S#)V`VQZ&C)*0W$S$4@=DSYA8uhY_2&16A!ZmQ%0MSTElmPakLw9+Y`mNY+~ z$yA_82S9KdwV-|yZ-K{NOBctS<=8pyi;_xn8w^g!U)1iQfvAt{#3dT^Y^n8OZ(cqcX;22#vmG^x1yUQg#t8V^PPgw=I zq%v1Ax8ka{$Z_%sBGqd32?AEOB==Hw;`NJwOgxK!hK-V;rl*`vyMxNqI9aAyo&zB&3u*=#P<&6=Ue?wpSISPV%5JBhMrV0( zEgNOv8(bO@{bAMF!nF+oB4~U!U0qsUT)$FEndF6C+@k(tshq1qYWYVE+;JTp7QeQvk1gM|VU8*LK z3R`^;=z6G#LnFLDYBOqYu3Z7xO`j}VkrlPBnKg-kS9&UqYjA`PHN&kaO{hNR=i+rZ zNs!AWa{*>}I^{eysLL+J9A?9+B}n5jpNtOkb=|mx$en<=CF5`hKTZg%U6h6woCX#Sqg3~%lKAn!$Htl2VeS!>E5^-6$*$FheyPkDQ zC~REV2M1ZJ(V7%Tsr0Fa8IS3fo#)?^mbmPA-=#++pDd`15C~?@xhOc}&&SI&#;CWd ztT-7lF+S;U+wvA@opjPhe#Ge?GhBMm*7FpJddBh3bS`zr6TE1sXaP*C?0A25(Y}z! zO;^?(pb(~x(tbU$Hxc(D2c0#IJ!XqsI+Ta&Ms=q+2dC0)>1I+41Nap{2@P7FDtnVY zB@B%z>e3ul%L9?R(90XYl~SlZ#m!Bz>n6xsu|(em==Qe4V`joDX7K&6X9)YdF- zY334NMQ{_ltT#(f+S)rw@g3k+xjHFrTso~ldlhqHiGY1L{0)GWT#-Shro!FJh0PB9 z;|Zi|by2f%5{yw=P~|~OQg5wN9h$&<&hE@<88Tg23vTQ%HEEU7@TnB1ZFN^uwK88H z$*NFp1AU(@897nr-ecEpsBhT70I$KGmi48tIxkiP-oA zQJS+8|9TS17izn#te{#wX!a7QhgZ{vB*Y0;Sj!_eu(-1b1V+1EUEg=(=LnWm=a#xw zB$JW|@i|}ASv&|k=;8=l-e{%(k+p?Tq-g;hWk2tHS|f7zT)WHV zYA+|R+MEaHlFCdpj=)%-(-Cz?0g7OF_K~yDUC`o!wv42Zn1z#=dzWk?dJ>WoOXBG{ zS)p@E6UuJ`3RV4KD_jw4F2b?G1i4u&Jsu0d=m?(T3oqBa3~MI_{v)rf>1e7vOQ7h` zPg*`c^;2NktV*ZTeUl16W3$SL>lxQInc8kM!6V(O&B~I%qEWd|{!u*I6XR@YKD;}~ zyP1kmlcPqQfH^7M5TGG*aOi%1Kd1N+Ve6bB0hfAFXn@e7!PHm*q%9F>5m}QeuY6&e zWz%CUuMA$pm<3+FTHqrquV0Zm-_ew>7#$;sKEJFEuUCL9OxCRRbFwqFrmvCzaTnWDxTAjnlUujJUul=MiO>2 zdRWiNp?k#R5E{0jn&!b}u;#U@!>`b11+>+%71h!&-M~T+6Fb1rnr(SXwU@M2ei@Sn zek7k(Ensz}8h}Gr-&?t=o_U4m1YvL=ji~t;s?5Iluaw)UHb-D6tLc<0snaNxukWap zr+y80#Kh#i2UUA4^tj{-*@LS4#1FjKnG3z`2K6s4+!jVF?%8tx?->L9hY}Z!g&zC7 z(#p!D+BqS-qId}=1S?84jbn+XIR(n5W-D)dudp$VvYqtkpcvgMhHltFj?&JgWeuk8 zpj+LYcZ&PXe86bB_)G~!MPa9M5g#2t7nACgQ%MLRYj|mBCKLY~5=4Tsz04f|qgf6J zL@3AAOq0O}D06bLREgRt^AIlFTm~tmVq3KXtzK|?cI4POVn^3JGgmP1Lszpi%>~+& z&H-sfc*$sP)-nVcQ@8F0)MOJl{>5_6ki_xS zKwvygPM;1{c&Buf8(c4~dHs$PbUl zLsh@?EfkTOKtM_WsgviM>z(}2Oqt~`>ts@_<$+G&yTR7BAu~2)su;=0zB3C{zPyne` z=VAgAs&9-5pDI9^GCh!Y9wTJ#GG6gD7y=2QNwjYb-)>Og#$e&Gu(oQQ&zwntK?`CW zxR%$mxx$WmvmYW}^J2_9Uym%kQ@yHBTBJhkBAfP=(bYUx>GRCM;;xRGDd+waBeu>1 z9d9pZUlH6SdM3!WY7$)((2=g8jF(~yDwCxr?&10xIu!?MJhLlO{%*CB5E#%P^ks20 zs#~v^5lU@QOH)fGk|Y+g#!eImim0r7Sld#Zq%Qg4cf@J8EbLQXd|h6tC%GJ3^>{BR zw*QYWK91V-0w33{Hz69TX{Sjv^2}%n=)H$3PT%3rUjDgJDtJ4i_feT+MruZW9&+02 zc7tA*#O-wivGQcqk8krD8U2KUo94FH(1G`R?=v0uq#=R=97pLyfmR7VP~CN^jw4MV z^B%&Q^C}q@2xq-PU5qZfeUjHEH4uce$%Ycp%arO7ima#A!<|raLaXjC%p!KjvdL{UW=Tndw_@8tr|tJ* z>Of&=oJG6c!o`=k zJLHn3zNX?Ar8lm}u&Nh+{je`am(rqr-XA(egD$y@q<%CVRod?f(d)XcG-C(7(6=r< z_$9z;a!7am0Fa&Q*SI+ThWI^1Ahq4Wm&1zE|7|;qE%4*WhFp&AF^mAhn*^(lJSg)x z6ZtltI68Pus??H{^#Ctq06l>H*7px z0s5r8W(s$`0`@gW)LdT_9~AIYStBLg6au}o#(o$jaqo;sWSldEDlkC36{kJPd+skD zH6KN&V(50fE4?DH&UU8ireE`9>uI%**6H?sW1)NPFTr8`mt^kUyx{P7VByW*ZcTx9 z3gQ-_DQ#7YE}t&%fRpIXk-b*rau~0Q|7_oGk!}B~GaELfBEV>7DVOf#v zZ0v%ojC=`c`FZ%cN4#Wj#7XY51(B8c@ITDk{NINBUkM!g(J(4TwVo};yeRvzwSqzF zQu`V~F-ow|1ft8HEMl_B9g`Y3t#jMXr%x&(H6DpLz(;@AuWe@fZvyU1#;k7e~sTq;usUT7`g%cVXHV z+0yJa=c-mlZirGDKe5l@f4!E8=C}QTyp~7jE0v7hko)}$ zod~!P=VOo$`5V)^=Plef_b-JHokHIhqIBE3$4qQ-qqBZ-Z{p&dy*w||n!tot5B~m_ zHvTu{5uV_ZI&+KCiXAmiW)`ipuduW_o@-E8V!pf$Xl8)C>8I%>mC#-)8VlQ^n0X?%)B`#2|fWg6YmgPwx!#v2bh$ z)$Jp_z~4z-#VG~a+0M^`e!B3kvTV@o``A5hHm<~udbvP5GOMMes~T>WbVb)k>3KK= zeAazB*pRxu28RB`7aL1{&6CsUK6B9@I2>E+)cZ3(_YDeDEfD$5KuJo zda#E`hz4mD_T$`-f2#i9M;z%wQ82?^L<+&c;JWs#Mr5~}`a-k&~E#m>jz=Vs_TtX>%xkU($w) z<+~O&Vjc8Rg{j}m$9@Lh@V@#c)I&7TE;bK(gJgHXZ*L0hUB{4xlo$(&fj_MLTb1QZ@tK5yZ5ACC>BmfINNx%vB7UM9>NUJYVy%4**r8GHN<_Pd2dc`@m-*Z|4=9w zQV3$EQMI)u9&k9f#c zR#(jP?Q6OiAG-D08+@O*JwC^h;=4ik@%d=D$38MkY=kjNfxit1jF9SIG!nei^SORs zqvP+xrh9o+8kp84*BYk%p7K$hoqV&e!>q4*lAN@XYBTAKhz^Up7ovk+l&Meob5?gg z2I%a|*yfh8d7Qfu2G!O(plZ|D40(lB-F+R)Ln3coaA~q{{lVMy0UdU}+4W;uM^4VA`_%ysBXI`h(hp5mLDIO(FN zr}>iLC@F&mS>;ylnhlI@Qf@vO;1Pr@|3VhIM${Gh9um*A^d^hi{toJkC-A+2j_2z* zB;4?S$&Hr=5gDy0S`orjHOrtBaI2p!0R|IwaERo>#)KU;Ai;5TaBV$!Hs}CgcWM4z zbCrjQhjxh@H_1fs9yHRv+4zvG_m)R|eC2nf{_swBxk*C%sm+4pv)s^FgSIk3X?FP; zPk(r3gDc#J;tZ^b@c|jp*@=E*PaZHuT$thyz}{UGshPOuB7I`7Ev8C;#xt!_Bk^vw zN8G1TqnVDRLA?O#Jw?)_E-PEhN)QfF=q&BalFZ0!fO*t2@CEw)_QM2mJERGM^wclo6jzFM>@vW>0~Np6vRTZ?)B%Nes5YNpZC$(5o*(RJnIc?sv9#JYcF}Tj zQe#;9*(tFa$v|L3%c49Yemgu}W&2uOFS<0>GjLLS%VXPxd&AKT!9a&(yCI}V$>Pv^ zvmdwxlqRdTu*|!bH~Jmi3v+g_2j|2VyjBT~r{;4`X=A(f6R#)$u|^s^vX3-JQ*8)r zDiW(zdEy|;CkeZnU@f5nTy5A?OYtX(H~&5WoIAaBSbsvV>o9i|c3h=^Y!6F-9J zh-JE(ec}kp#6m?UreCC_lc>Azfo1&s&+6wg;(j5I_g7yKx z=D5XF*mx#SPEke0=R&!w{HE6{4OEQ@S)hEoFAWBfTT-ro&{iwv2?{=E!@`RUJEzkk z_Lx1l6Q$%}Fa|L$=G5~F>F@J8h!uB~JO-6-SM3Hs+Fy%+LLZ(_p0B?todm~x)V*!M z>pLA)-35a!H?o5@oVsRS&Jz+0wLB!j4awekq$^5Hw3ucF_g+8>>VqV!NxBtxe z?3N92EoV%_W>g77jcq6QYWqBO5VW$B?mqj9S+|w?z)Su+i2@ur6fC>V9H8Akxi~_! z;`Z^fzTF~)7XCBSjV@9vjQJ`2%2WZ)d3V3Glq%fQdPU<;qxAZkh&(%)T=;#OakYb6 z+Nrgca5re!pGqrb6(ADTL@XX=nt?gRNXRYyY;nintiMP>Vrg6bb_VA7OQX7-VDqJS z9J^{#>jrVYKQ}g!C+f8|6ZzX5B&_F#PlVnWT5$3&>AlE7c+?7qnqew>yzz0&*Gkr| zDnLytG_sBq&9waQgeYPQkRgSgGI23$2RJef$| z^@02Q$D6s~$~KQIR!uuclLSZBb(+>Gz711}t8V!l@5W5PMJ$Sd|Ddxof9^R8@=p&) z+dzJeePZd89t;1vlB+r98_4qrz$ z^R3g@Ob-Aq>akD9IZi2xElD~$Q(!ZDN@#uK&tuWNvJaP8ZWZ} zFD1mC4IkeFz~{RkUT8?nd*Ur~G<(NY*E)3U+xaf9!rUaCGrt5BIjS~3rWQOg^ZZ<3 z;J4aTbeHl1dVIsWFMG`vRq?SR-!@L0@Ud}Z3rmIHnRyCh&pvftk7ZP4&6@a8Fcu|7 z`C(`Avn9>9xUG@f)@FBnB*;>c64b5WKAJJ0`P++5*$c0_6-*-{>7XwVN95(?P!Ys?8hM-v47s zHT6@W`Bx3&CyIk|%R;X#sr=JA>;Eu84}M?{^)NZ_Urp~QRWAG>-?pf7O*Ao@5bvLD zwSD7C2fDtZh)gTrJgtJvAjU_jjLa3O&ETtlY+k!XXPRgcTuMijl3Iqv%LneV$oB8z zl~DeR`j}Yt*x6_d4Q`eZrv5K)ur}&WSW*ODgqJEIt)s^-mezjEm~O%itpiR*M@PrJ zGX3-~5B$%_qolrb*o=(+g1#aQrjQyEACqQ9LJ7$3r^UWme-wV2Fbmh8D?n#W zGdhB>AOj+PECCZJxzlkh1|Nq6(ZRh&ce3ZsDfkz zS}UHg>aUh(luqP(3t)ytQ-BUeenE&i8piS&Z&i>kB@XVzcuRiY^eCFORBl$pdwzJM z-#5>)QFn>{S)jQaMDA^CgtO*YmY;abKlpeZ?PO(qF&=Z&ZW0f>@Ff?sWxULh9~rdp zx%##*a8O`D=`G&nW|%p=uSb$Kl07zAZ$n=-D@%hq3~nPe9_3R~PqD6<2%|g%I=*&% zJg3vGzH{i;!1%)61Fta~Z%Xa$sSpy;N?=x*;9%bBLTmxwdZ!nJlr#kSW?kpVarST? ze>qn!TqTsXP;^x|Tx~KAzJ)E>4L{0o+=v>=%*K0PK+rUK*SoS1@_jsF1@SqSkSQt!nv@&aXe`*#dMYsFvPB{GWe-w z^4WCPN%5_LY4+N1y!P_1!%4Bp9fw7ULAuD0CxVsy3x!c`8W-FQPJP9-ka1x6Irz#y zKL=0u7n#GUKv_ZVUSoxxV)>&(AR$cbq=k(rQcgIFK%Z;9<}33TMi1Vo2fJ;ieYP}TpUgcZP064aA~aGX%eP2uu>T?REY)Un$BP7w(;gDeLiHFa zXlZ5%hg3$CU&_hH;p1l)n!a(|B0c9*7PXBzDnheZ$+U=DpdWFN?fcA(5k+EtDXV%C z)@cW|bIT+NfuYW5B(lFwR8*nJQDrM<8xj*iT2v!@r`FesiJo$ zyySf8J5E7VD<=c*c*~+9H*0zLVj`tU16A=@xW@GrWyYb zErPUNZ?BdWi${-;7;kVPr-o{CSWUS1O^fD?pqZtYwg2eI8DK-ki#Q@h|Ggs^h^Q&4 z4+otnnjOJ0kPPoozky;i{c9pF(n2F|eKUo7Yj+Zfp#0f`vc^eu7q1{d|T z(MJu%psBZ%w;{zSPGT=>!EV_Q)(*NqXy*a^ zpmG2>Ws^F!JJaNpGy2^81YAZNi0Xxzn+UD1Qm+Y>st65I(IAj7KIj<$Aje-Sil#WMgd1Aw&lWq_uIKh%TewTgf4wL2q-1 z`E%J}Fi108rs0&Nr(j=>Xg;zwA;%WO?OU*7@%PVl$1^PP9H z&Ar&(b||LGgCyJ{9zW??LD#L5b}e-3Uwd#uVqji{U$%GS;sHQ6O6dS_RQb;nIb#ou z9>0HqnJ@!_#m?&gjFQN=Y{5Beo3_3OXsJR$RmOyE?&>T0o%Sk#M=fwYB_H7)o6Wt$#>59CNnnYq)%Gg(=uF*u zjKR7R76a7n6t5|KgOKF~I{Hh%K0mf?knLgegG3xB1fLiO8Atr7#AKs@=R9%P!W0_@ zR;d!M_|0M31#nr>{Wf`jNWE&YVr*1kI@9pG9*tOyW4&g7Cv2#1)W7YmKoUbT#gvU6f6z~o_n-t| zUHH|p)euN%|F=SJ_xbOZH9Kj?3I=k42s9VqdTCackf4%ZS~74saz!6q|7%>o?yxE( z;1#Sxa`puNzsuo&aB%^jBjBEDo$eGR)+9ac59ZSXs+|qn?)0KvYWEtfxgm{rX==E_ zpwVHr*xlZ{GvYsoFNn1J4NhewN&FlL~DQqnw3n zcClyFRGs>QnvI<}ZxAK9nJHlhfZv~42}s4Wk1ZC+;sbJl6w77%iro1=>XX^ba3!R}Svokp2A=qqu)E_+CZL)f1xFK7lZy z>=dsR&^|YPsw~_6`Wn=oiUxYW(bPh`FZ=@HpmLtvF$EIfx+Q% z{CC)I-vn*n8JTT0Fm0(_5p_a}>~0-CQ6api>X@}*=wjT7`%yVm+jw=Kc`^L9==5!O|2GGA;vHAco zTDgB>I+YX}O%Uf1P4r7f$=Hw8JyEKQR@@WG35m>U|ViqbXnuh z&Hcsw$&t*NiiQ3IKq0J-!pAY^qWrxn{S|uQ(i+uhoMQ3X^0c1`*ik=Kv5av6Y`eZ) z@T8{`fFzIG)%j0?T`E~KX44^}WA%Uy(M(eDeC!VWWb6j?PKK6of&B#!#%;Zcyc>?K zjDi(|$`DzEK2tH}d8Y)BRk2mw>N9+#%cREoTprMA;L^p`eeuVSxw`X1j)I>ebfuTH zzEDU$S0+ga7qAKYvB1X9cZa6v+erOg zeGX6d;@<4QSHdPs<0kEr8x@%5iRK!O2|lFbt1u8e7hkegL@rG$Ysf=}czt zrk6Mz-e0fLc+8o-fBtZ$aG)4jPY-^i7cK3q(>|Ic@6eZkti94CcSj5wqQWkZ&b}1# zuHhyn^LI7ZNT9^-0J*-XMsJcMxHHnTi#AKt{!6C|i|Sc|CdUkw36Jn3C$ZMXLWIO& zyJDYLu)1Kn9nJ7QE41TgFu)%!C!j^<01G<&gMKVYfV^JKt? z*a}+Dc{}HBr2bGA8E#7P#bb_-X0B8a+if#)uF@;uqqtOJW~;VL2LUyr(+67L*CB)0rD<-K7qNMHcNxPCYZZv zl8=Y&3mlS)C~##cQ)lxI0H7<4nWkFn@r>|S4t;d1Zq+bD+gH`RKf4#$*ZjZy~6ds8Bt(hrD{7c!lm5YMRWc&n9ByGTf(%a>Kp)u z3a56({XX1p?sSYJMH=Tr?%2;QzZ5|IR6=XiC;vFb7bY4s7C;XqIbx^I<)!^rWSSYs z)CSbp*!cPrePguu5qFwGjilcVf}}I(Fm^JJlT}V?e!!CPA>quvYkFU2%pf#V$AE#< z8EuCeY)-_sk-J2DuOu^iA`4X$n_FRI*(8U$EBg1gzSr02`e4x~brz7~Uz4gk8N@x96jC^iePro5z_M^W?wtxPL06FOIGOXNEACnf4( zD5ctV2h1ISx{v9tNAf$Ek^4DLcUEk&&^tL%8YM^-uAdhTl70AhZEufP3MR%Ck1Sz} zqlV2^gwL%9_O34m&m+g~xu?K^V)-R7*ber&>rqFIvoN&^*`P>z(5kQhgWhl??QJ&-akq{11k?1rc$-@<=vyyhGt686XGqGVbS*~+n~ z&JsBczo&|p(}uxdOM@b-m>l;a+vm)%7+Q!qD3v+ZQ3VP47JIX8M54MRcp0R!z(x;# z82YJxO{qb-Hfj2=iAcp;EB1=6r=}e9>o~ zjUwgs3S^}9(`)qM+cDp#b=HiZhIVlV_cn8=o#+1US1fPKQbJOw4xCK6)x)EwB$<0t z7$wrWeNOg}aREX$C!82wR5MDH;;odSgm%Hq1|E)KBYGl@-(ShLDGxzBqz%|Q6@*a^ z0BU^}WA4tVfL4+4kw<Ebejx~zqp9s3CviUy^B^!Ts^Fwwa{ZL^pcV;HmEoo4Dt)KRCa z+tu70avyVsFV~-1(f*bTKm1j%GQ1s9K+zfqN{SH-c<*?7S$|*$ydGvSK-tv5M=zBG zJniN^b852DX0q0jqQuHwl$I*Mz1`!dS~n&=@9yy{sdz~m5p7WdnK`WFE$XR)|x z9J_l$FYtW^X3prColqAu>B>-oQ~xNwj&E6)RV}RqHP&>K&T4bG0HTDY8g5jsYTr*i zDzWEYwHRl%{?f1=VwER+JN#{#J|}_z?$)*<(9t5G8Ay1YKO?N6ENAMTnau+Q$wGWw zS@TWL)cSa1EOsa5BBHn7)*X3+louaj_z#<=e!Az-bQ2XiiZA%+XeaZc67DV#V|Ozo zs8sm=Z+?cgL9er;Tw`HC3cFkV85scJ#dz3QF+E}3m9w$NqQ3QIAHlx4XAN@G-9!a= z%Iw3$Di1Ht;UYcqim19YQ>KKNefYV!3E!JP{;|+J2GfSan!sXfAy@viOq+y8v z>wf?&{;3>U>4-xTe?_N5*S{>N&eyNzGVO)Q}7l7 z@|_#F`vy`X(uOFB+*OV8O^bRSH6dE64E+9Vpak^J+s034{_V+s9M76k;#~TI@x5c9 zZK;v?O;=@qPxM8)cMtO-0zAP9^-SHEQ48%a+cjRQPg&m__(eBL>blp*?$H4pkNk#{a`yN^sI??M{$xUMDs`R%VW@D#je{w^C4D0 z?YF`nyEn40S_PlbyT2b32#<+pE;J1`GbXm44S&uzs0}#`_E$q#4GM1~c_%)t7}PTY zu7*(I7p69?L=FJQpX(lfeteZZy){oy%#hMD$8n^zj)gJlN{H<9h+dEv&3@#=9rHbZ`hsp zNfGp=1AF^wg=$n?L7GuguDX-#bx(vk7LFB+8~FW47-+mMF`^v&XQ1Kv=~u+(GYV~;+UR6;C%b;+=+p^R&^o&z#(Ii%iK9=~Qdp~z~V{Hj>_YWDf%AH06Kc&&i z3L7aD!@9WADXdOL&Zz!M4?@%;ZZyjBC?J`Y=FE^mpsF_;hi7F6TBF~*y-$o)bSERU zv(GG+v`#kphyA5nFFf-&*zQ|p?J0HDoFX^LT%$pr1HhxZdqv;XaPZTr?3&n%9d%{# zgBQS>Ro>xkXi&w3wW@1PW}uI;TZVzonKUh9$!RY915EX^LASk| zbJxzb+S=F@ON~=-W3dN-8!<72VYwAQdw~9Mez5sy^WL>;MJh9^Aoz^7d+s=r_||K$ zcBxA?icmhm4jLw>fMOv5r4=r^O{3D8BC;X8oo|SfK;DPj$^Dh_b26EpU>y_}$cnl^ z#SpTj-xl)k-VF`>pqB3UosK{nT6x746g!&q2H;cgWNf%-#7EVR_{*wj_ZS9zwht}{ zrGT@5jU~ec#UBvCoiZt4)Nahc=Qf4L1+Fcl^Q+5iJ7p5jO>>O%> zAoW7e!R=Y~J@$VyNJ{Z_^Z^fowEGR#b~d>5tu(Ht5Qs9P7lTEw^T(y!dJUh*mamyC644?Vdn<^vfw343=6* zOZIITV|?UQM2@<;^3_G`b7ukxbNUK#kKl+=)+d~?&{N@6h{F1lT2E%T$LVq)EsccU zkXckW(8|^SQP?Q)0_j@5DX~(dU9b32IZXxL9d`Iz`rNBYn^zAcZ9ddSs(a~q$A#&c zxpskd`DV78kA&DQBzDkZy*7`rraL6(BWJkNQuK`G3Zg=}^XeF@;wvUP7B1uqnyW0E zbGhvi;@QiZjSE#J&UusF41X3T4b%ks@AS8L%ExP+%67}&tC6xSEqp#dD$;;Z?E%)|%N-9z>wbOx-{$BR0~!<4R zh^R+J{2ZMOH;ihjHG3Zt5`n#B8_ZQwDz$vUN+EjeFEwkZVmI!FQ6qNfhuqc^W!LmI zsBga<0Q!KA^^gX-5VL=6CzelZ{`KH6eSn2&gz^Oj=V;TYk;yj;93xvs-9Y1?~ z&btCbVR#vtdD1qR3rH_2@4buuJKh2B$lBVQGlmMNpm&}jHn^K@6aEjI6E+N#3mTI9 zm9nvQgN$`{QffWr#T}vuHZDnCSRtTqTHC&3x#oG!h@D?P8|_qtCsN_@AdF3znq(ZO zm+BPKf+52Y!CXss^F;=qzvBJ&$s)>!z_nK9$-2gYTkT~#5i&fAU~Bu)&95y~=eYP7 zd;B{%9EqibOFAyLj1`+DY)g7G{U0ID_4EcLZy)*~Th$kHExIPB<;~{yuH^y1o%PmN z5rv!%Cw;(D>pc$umO4%70|0N-`{DZfyaE?RRnwuZ;Vjjln?1Iar)auuBm4*3E}y{( zNZS^5?|ge^`xO_pbyvfqx&{b`fTMD!at{C}x%#ra*aUmN6v~j2u{iUJ{|RVhb3nYI z5=T_Dz}_!Y0F5``Ktz!2m4p${w~_jzU$srHJK3V=hZ>vDr)`$_l#eAm$zdcf_p5S) zAf(8o=0x_DF6-zvTuzL}56S|cPO43vPCs+?^FqDaV{U>W1RLRw!=sYgg=I|IDM=ap z`VB&+2-zoQ5s8f^H5unFA{!AyX{1UWC*jQ|%Bg`q_Qv0|{&CKIruD@Swi74ji6J%a zbSojhb&Yu%T$iJz1vcKb$hm-S)Rdn~^^SiPUQWP*W6%FSaE`l(Qq3$25!XPkpfQvO6=p zC*-0wO80brQRFJkF9edb2SOXUAvvz26F&@)c_9VYbkJ3u;@z9a8uUtxgaz#zXE?mhAdpcM^@%p)Y1V!$`a{LU$zpn$8j33z&lD*?Ax;6%xKp#^$!5_ z2YCxqI!hD6@%~}9(a$WOiv|^rpLUg&_?~K5eFv75G5^M=vv73ZEj?p7NCa*eM~F9$ zn6!Und;s|4=KJ3xnYKRpNTR6s%c2zVY`Y6IlHVErkE$6PSZw&}+AdFCpysM}oO8zM zPKCkRpVd_I4ruz!NfCd0<(VZ`tO@gEW`&Vl|JWJWZ-10;UAr{FA_YpC>rKJ#4tyj-;DRYIGx4=m#ag`rddpPt zFpv0ij)0%Ds^Jj@of2c11ZdzMrip_uRNdFeHT19H2Dok-peJ(s-x zy7%hMH~#kTis7Cq1Dh)eU4RdVZH{j-k!kW$H>kk-)zKV%vVvM6sROjaxNM87!&=G8 z)Y|+>iO-;S zyciDV{?GHJ>Q(lLQ>_6df#7^a(@ZbpKSIXFYg~J6-23Y|=O@Vy{!fW3OG|jq8Z*;= zuZqk%PZ=+pZ6oup({$STV5v=#KS&XNpgZUk8_^LWY{kyhH9It z!f(g4P8t=ad~f~>n<$a~U0luKC#`c}KPPKu*?u9Ob^I=$ofMH8^r6};pzPr=vph6V znnyh)R)mIV8I-nD^hU0iR-3uW*zT(3oY}|I1UfBgOpPNCD@N1;YJ8O2|74-g_x$l` z(07i)55nW4t4-VgE`9-b8{nIlChO*mqO*F6P|*u^+r+EUL{a|$p%NsjOqZx~yQ;%! z;q^GrfHNP2IR->yqh_qmla2hnL4s%1^KJN`nqoacyv5q^rr$2W!-*w6{G?+=(s7us zZI{10;)@GowzB_fEuV*e9M9ek-I0`N;$Y8BCguX^FUzTDOFic`n9Z@q|2t@uYdHWBd=DJo`}W#o=+sw zTdScbZXHi6=SfYw-)D^!><4F9d3kSEUk@pb=LYM36TICr@8@*6IrxF~cp)o@?}|f6 z*0X=^lCOLuUiS~0Onwhk7C8Mh!dR1tsgH;I6oWs2T9$yfW=q^8wIbC-u77MU-8PI# z@Jg~_r&DyAY?k_4;(9Vfs>4Hb!aBdzj+K9KOSmutD$)wdCRzaAesrf?#5Cpy%h~GX zf-+`llEc=oDKho+7+AvFfMrS~FT`@h5EVR82wjzWf9k91322#vr$6V&d+jD=I-zEt zVmYYgM9B2R3*JoF{|LUHKX}LDQ`K*mcV^h@#(=wl=bn$NaCl%rCZWY2sbqaOQlg;f zUXK6J&nA#8^yfQ=7s21zMT_~@ge_P#N^Zjz=35jl@^lEcLkI>KdTjJt)BrJ)H6br7 zo`%$`KdhZ%`{RxcSK|`nOGdrWs>&a&0`z;Iu6;3VJM1CbWeMVKw+W;J-K+M;IclZ* zathbD?&Yv5RD5VfNIE2O!J36-iD{aM_9zIQ7T@~daZqZ1*AcH|nHO%N z({Ufb0-M~RW7YBki-rj+xCkD9bg$a(6ElVWk2NaWk`K6%^`=pk2BXxpQ?~e-Fym+p*L}n{ z(!zVY8m_I_^?t-CE(dM0U_WZ(Uu(@wN@D0;y_EAp(b(mVDUKLEaA)fm!Q%)ol<;_c zfK=FFy>$UI^jnmaMvBh)x{=X%6`Fv#;DxK|MS9)y8_hQH%fqFTDFq)PG+0YKHXliW zL)A4{7}9J^j_=}?o&C;XpOGfwieI&z-D6Tp3S7y;lw6P#gq5^m%q4q1nW_x+b#@yj z*JbaS^##%FDJ736j&9SKtWdQ>NtyoZ>bKgZIbN}OS!zW!${@LReu+ZA2|+O2lRY9p zN6{paVXDx(_FrX?Wd{yQi~!*;Y6aP{$M_eoEXekh)<`36IeZ< z*#1|$jkj*T=YG9M2m9_5K_@Y=A~Av_=88JA}N_xpx5Y z*_%S&5{%GK7C3KeE(&SjsmakyUj6FzK$FWqVR_dpl~bY5ftxYGs_UX3NN*_N?{+&6 z35_*d*UyaDAc+de02jbJq?ey5 zS+*SFn+v=DU3ZgBA<=8CyypXkW#7l=4Y8wZ5V>6c`Fkr~UM?6_n;W^}-vorrq_)r( z7JL7$!*d40|3!uxMuts$AL898rYJGp$3G_|6R4V$bi(E za+dZz8ivZkA`IEm-;4Ce~w zR$x~JZJ2{gf^%UA#S|PHX^V~yMri#UOh2?r}&LF96{w(Uwu+kH}WH8rOO{1 zuNy9*8HBBz#k@y<#>1DqqY4&QIDwJC*uL3{8f6v!X$BBC_cqDl}D zB_LqHLa&A-gc3jvHS`kD(9|U;Q9w%QMM6s?3?B4+FAv=n>tmUZg_q9zn;LL15rVuC7Bys{A?}Fd@7;s;B*c zt?+A?JkKYaD{qMhgjXd03Y6!@fi=|KN&&L=RCtLxL7dGZxHabuBa`0zUj5*Z0WeB&YJ0D z$_2#Yaw%U>^ z3w4i^M}lK*?@#Xm;0?7ST@?9^A-8}{mW`vbfA6_FRxYbKk0j7u5iwExqpe8bFLK%n zlLdkF1xPuioO-9QS3rzmiXU7TW=A_OE5`SCj5t`xZ$&QrfWDj6QwV~KtFGz%_}%g^ zu?XJvOx;{|st_R=RaKa=u-Wu!UCW`&%Wo{XH}$RxF|_UKYr^*QJIg~Cj6x4o!#}tL zI^Uv7PXc2XE8&HRG4Z`ki$cMfwhuMZCa9t@v zP1EDyYIX|=^?}onsggSVm5}S*yU|~V7ld}yospdl!bE4W9#}SX#Q9A@QeBL|78)Bs z#=Bs3>+&cO&fqmUckkS2zsJT90fC#w&RS; zt$(#sm+sX;t@~_LqQctJKe$7|Vn^4+xhljdT8vzDn;VqB;sJO9BLq(UdnRdysw%(*KS%MGuv!XXbJH>tt7v-(Lvb|~LlO+-`fjVX@ z)?g~a-jQt)ghbxOX)W!cb?HgluM$e{#<4FqNmVMVoCPS7B%UT*~^(@ z?&rY6%+F}Cfk5A403*IodUslT3&i!U6FZyGDNGzuswta(Pxym5^B*n%yJi>1c8hH4{pqGEK4?pp z=0_akhC~%kcdj~sE1CG(#3LxF#T<1vav!;fh)G(qi0VuV;-1-1UEsCd9rk#B(utby zC);;PkXFnP!cUl}TRgjBt5wt8r>?hP zt93@fH0!K}b(L_FKWkQPtLDcq*7J~IKXpML8qM^;qg1aKxKmo3zj=IRGG!?hkDTOe z!lN@=`E9aRCPGO*q+wCdi^f!E(Rcba-+;Cs)izQA>tL?T!I<|%p@w(26Vst4exhlx|00R$*=Jox1g z7z6CN)GB6Ep72`@unrH39Go15kOE?;D4!Pl^PX%ocSNaoQAJ=L2D3dYzg%WTnAixUo!Ye7UVub+?@0E-O3A zCOzk>&&2pGl7P2?)bMBn1#f{^X2Wb0K?$2Kk1W>HC1MA)cR-?%rKPpX(K$Yh$>i5r z?2*)lrIoq+ps&$&XdBVHW;&JXoJ*xikS%3g&A#k@`@m-0-#j7*CZnP@6B9PwC1B)b z@T8W=A={&LQCgjf-s74qs;!uBALBcbh;Bnrv$Q1g3!L3BPMjoPEUV%o+ye*pX-ZX{ zSUy~KQfM(%ZlJDD>?_q~^uo&cLZ@GnBbqR3IwD`sY1G=k7P&8Rxa1^6p|ig$XIQUF zeUKLDkXT$o2|?7Y@_V#bJtGa9F4&^cPqYgw?wM29eJNK2p6VyIF_jS~5>m4aN9G68 z2(w7J_CDzh5{gF<%N zc}ncbBYGvj&(lpHQN*R}X8Y~%0m3Xv9PMChOn7;Z;@7K7B?#mvxq#^zDLbIyWY?lIr z+4Bi}%5I9B)3yhjrK-tmX55}WErZub#{8L@vV81!A(RdI-4r@~)E@l_CdamHEEiubEM|vOMR^!D$vY8Q+}6PF1_3$2(ug(0>hhIdbp^9W;~M zgM7uIOnBL32b)E5MkdL8OU4DBgN?A?Js&6pKmE@o`&rFmU>J;NnBV8#F@4&>YQ2+NlOL?~*fH zN?`3zcC3avzKz_~H~MC$()f&Dk4T1b*Xt}_P`;7crEwRhO1HMc3LBBe(F?1b zay0}b`H^m|eY85PB9o`|KU&`#7!n=YyKuab5`Dl2{}2=>1Iiv|-274KpUDT+(t)7? z=TeC)5N=4LcL_zr&fy1_*nA)bZze!zS?g5yeyv5NeO|^WI`#Iy zL%)tlvBZzf(WBaQ_S-eoOhl!7T`y+uUnb6vXW^=qeTzonyr2K0>i_p=kmEHTq2nb( zqL&McqH8!pr|_xMXtZ7)s!%s4=%LV*_BF6(OtmyILzeAjQlKXhCt2BCsG~FCO{rb0 z=80{ZC%{2pxnye$D;7bwJAzARHC{4 z5e3js>k`F9$xRRbmn}|M+->sjugM@ijI}?%Wl5tod97K-QpoF8r;6n^{G5M;k5ZJ{ z5Np+r0ZQ!M5$AoW`~S~*@`icSdCTS?I^H-(SsM4;0%2S~7nmkDchYC9@X;O|`Up>9&JP{7G7|T_t`}x?QfndeAm^=y}OJuNvA@{(`Wr;0gSD z^I}RJSevzZ*QbD-qMCO$J5k7m_wn3N6M6rP|am28@ZO^lSrdgzaL zhZ2NLGunMidK@z=3aYUX`^)QQC5K#r(m%RIsUCpd8+aJe+IzV{4vr?(VaF}1nDNxp zO-!DSROz}NQ#N)NSKS6s!X{}zV6%9{GscyvAc1JFyH#rSs~`3wrTbkWW`$)~2e3v| za6T=Mk~->_O+tgZ)zAUg`XRor$l-hWk8c{yrC|I$SGgu`4u}a`{RXoVHLX{d_3rD( zc4`kUSRo{hwG(p&y=-D31t6J|EJZQB>CAb(Cl&YX-P4+KQUo3mgh(;k2-Ey&etDEP zxjtXuIv%gRX!k~4Wn|?XrFP2-RBXAj7V&uu3iaviMS&C@5jSGpi%5q3mfYpCiUwh5 z?Cqpq&s`ace1QSC3_?C4Ps~hU8|DQW9S-{j$Lhh6uov3l2;@T6YW6~w2N+)*^(WS= zP`e#B|H!w9eJx*wis3Hy3t6*AkH+OkN_t4HTRsSWpVMko>h*wTpYJ{w^7M-h)AREwO+h$5CU}zx{(1tnUFisD?RT zU;J3RNd$0>+yCZY14DQ^Muzx8n2qGt}jPix* zAs{ckxufO6QgGhRRPifT#tDlAnM(NGz8oli8TyKpjG73Uo4T(WmDNYsZR`)Q`Cze8 zSUw_|?Y}u&wZRqz7}2BJr(x+K%$XnBTQ}Ux%2PVAfeUA@4|(q5!W}CRpYCt0W{II9 zyQ$sNI7$uyiWo%^_N0s%<(N~HL>0B!f@rIYY!RQw@n-0q>FLRv)`4NsgaFOCZP8_fS4E)uzYpD8!@Ec zeAKSEvr}pGO69(J^U6RJlO488aW139rJ2mvc%+7t5_**vpQQ)R8-t1(kf1t&-;rCK z`GY@J`W8ZorGs)57xK3XxJA!)9F9^1OEc{cQV6uM?R`Bif-ZPUbCK5}$$25uF1*hv z?-?as;=LzX&YJ%t@e;0!x)h{ODz3Ul%G?Jq2&%u>)tDeV2MfzF)E{|8=)8h=1-?qc z!pPH-C5mU99scYaEBjgTeIs)A{b1wdddJ|@SIN2s4oWtWISUJ( z{lvpUDeePP*84gzp=kpd*@rYUy>5O*RK}8dC>9TKmPRd46yZ) zxpI!SNW+?s;cF9um*z1=YY=HU3#LSOMT&rWY#B@qHXdf_;x$I>#4b;+J zF>EJ&6LY>`$V2S2u@l>vYxWwTWBT^sKuSTOd@(;iPF2GGDzqm*xZA+8NoEVtIGsIV z9U|}`ysOYOdfb#91v|yoAFeNWd+8@s_pnmM7sD6>`3?%OWks9aI}8rJR(f-{bp7g- zn=9fqbGV`}+WJLi%+T80>iCPpte-VA*bKSl@p;s+$-i39miT9p$VSrzz8lNNn>&Q( zkh#S|5_tqOmq~XR%BRs-l+`hU&Mx=R!+&j)`0g>Kd8I0*3!a;oU0>i}c3e|%a-fVa zDxOf`(K#A4q`Rm-E6R*hyh1*LYN$UDs*}3?53Kp9Q+>b1E`sAavSBg2c&!IqxmXnJ z^*2x2vem2FaXIIWxREMyKNo7=)gYtRy05aig+7(M_3Y<_a)_@Aq0sBeMf5S7M=uvj zMV0ibwcA`lbhJG~XeB-p4;mRirbCsJ%Y@)JRZLQaxC_kYGy|*?O+>)8-RjE1H9Z4h9mST)2mM|1v<*i@iEN17B!3#hi`;(U zRHAQ_b88!g+%8giO~bjm*ZR3tp>@WaVz*O*j|D7t3vz<>#APbZ6`j>t0Z7~ZSP$W{ zAN#SIl|4c>Wbm=w(K{g3qQ4UTzat>?LP16wcRj>%>b$O+ce&zlH5XpRF5@X%pd66f z#T32k8R;9uO=OV+V)6>@$Y>xf2FnfH2zXXV?y`82CO<}2i!RUpP(pY;*oN6)a#9u} z0iJche^T!qal^#YquQy(z@@}o&)|-6`>SuxGZZEp@dROqKuR0`YybSeO`k3AI9(7d zp9oHQg`ewc>Fu>+k*7jiuX>kKTrUaxy{Ur!*3DHJv{c-}QFBXdUEC-Geef2qqQndt z-F)G`KDyw^CiJfdIxezE%7lAiWlKG`4~3CL?fuOoBr|n<5ZiBU+8uKs1$Z;tozJ2d zB=lrCSE5It&JFDIC7>sFL;#ab3Hy3k5b_;a!cDyUf~rK&<1%+bC}j!(b9h%` z?XifyBA=jK7!#p#DnLnit={`6dw4I`ku^jv+JYNDbXkg16Wkk6lipA<;FAR?-0V%8 zykk6acoAEUC;*b{68LEEK2v$vo=RvPZj3CJVIv;j!X@sIu_EWiYRa~rm_IL39}uf> z`6C#nmku!bBg5hKt5xPKo&*D0g_>1zIhmjOVxjI%!Zrn6(fbz%H|jdCJ$r*V^ zMROk29vnvpGU?hJuP&qH!@uNe!WJy5|_O2Lwh>`2v9adlOMtv}&;YMa+k8+_m)$CugN zAP1~SsAF5x*JnY1lk)5}SLL1_8&#orB;2KKFthxmbSlX8onf+smGw-O@J!@O1%7JF zuVkKc7G8iPMP^MNI8WFoN!{(<`*sZl0qxAUK_N#oMIGy_31joLb#UmYl*>M#L?-~m zHc>}`74*@WQRW`jo?kKsZm!e2oZxZK{#?P$X_4M~VW|q+dAXd}@&gk`QczN!u&6s` z+CJb$yaeQV$1StyAd_1CseO--8v>&1I;JMRvkdjKQ%&Vm1FIey^VItu6+!gH2`d03 zQ}DZ|kCDh1z0aFWU>KmXo8#-c09$S`emF#mHA3BkS6qsP?X|6O{O zYvX4Znez5YhR(~!{4d|~6phE0*-BMa->|Y>Y0GpBf6s>Ak@)6jNpGFODfsogpBgeb5W8Gdn=CNuPD1l$57oM8D0aK za2jhLcR405;mE9;Q{G6$!otA}&@!5XwvfZCxUsvtmym56%`k7 z`BIy@ZjHT(Fd|HNg--$oxK;*EUzC)ks$wr_(yGfr5QLy$fQ+snuN zc8vp`qQl0l(Rn&LOMt3lBlJN6& zv`ZQ#4@F*>7$OspL#u^_b_pFx=JdyZ^K>M8z8O{3>yqYZvpc>yKFw_Sno;9H z1KFJROrzrbtf_qo{ys1&bHF$3RwgbgHux1)Y|?F}nM&}ZGxa~LHyIv!aMS4L)PZC~ zZB=={h=aW7DQO<0_cLKwiVpHIJ5W`wbfpz~c6?WJX<_y|fRSZ@=nK9k-YLynLH$Fl zor8~KmuVZhzSXLnAYlhUc#yLl3k|i^{ROcshEFoHowuPZnq?Xqp!J9C&sDJs;o91q zY4}0GU>mdGS!Yx3623_R;qZt#uBV28E#tH(QAgj*d&ZV{C+k@27A3X51w%4MLPYf# z)pm)KWcOaI_x+|b?@cTkA<-EBHGGxMq!tVt55OM0=0c0!gGW~@PSnjvYsTz7$z2X| zG_Ig&xE9f$mrzcEobu6AVcPB5t;LxGX0e7ps2kD(TG^Xt6vFl+w6+f|zO;AQDV@Mj zPrtAHHUYptDOncttaM!MyD}p}6K4S(sHJz&o>OoKeYxwj3^4!Q`0-1`WJwLy+f$}H z;f&eA-P&I_MV@*UQVM{Ws7x6k)(|3a_H!)05iVo(!u}D6U(jnkID84=?qp zjey~o^e}CNL`h~h(wMpfSnqEhu0F94BD#U{Y`e8JC;Z00$=g?E^=8N1PX1V-zu+js zOoE@+Pr(Yl$GBZ0Vk;q3={JNYXTsxp7B3`4iLlc03ksjLFIYNPYvjl!AU;9WP-_K5 zljp-bLZ3s*tC|~gY|;pKC&+_ZmmkQsQwqGkWlFA3&piQomE(~NhN|HS)D%mSa0WvO zfv41T^?MM$AWGp6>q-}T9>PYq>z>W!ZiEfv0*$E!X&{3a+Hv`gbEY@Vyv8#2*55p1 zAU#!Hzav@7foGpV%P)$X@ zM;GcfdHx{DpZ*6Sl)Si>#s7y<&0dH(+aFin-D|dQ@BzH1aeN$18CMSBzu(>b1)bN) zfQc(s)lHI}(<;@Bi#Y=+fMAw0d<1YZCv${wta;JX@iB%|LNr=`H2Ynk?2UZq{&0Fog<0fJsHpX@Z5JEuM6>MUA-OPcM1Jw|h zX+Ah?-L~A*-M)Fpg?&S}w znB}hDo(_CTWPFI+0}b0x?gZ(n1(xJ+Jqw0yLnJ+?+p%Pu7uye!Qcn*_Ic?v^iW}uH z$_&!gY+V9N*QX|-wH7lF^E7=o>%<)BD0B$HpQV7xz<)igb>UzjOJmYj4dBxr%d_vo zRGQ(j4l6(EHk4gztH481%^9W+n}e~|St4M=<|ETt`nD5Ysh>O@O6L~<1)+Ruc(-m@ zNn@=r5=5e7qS9dZO>Y#v|o-PZcLc2 zEW~)>X1q2^29s-wBJ00rIr=Px@G{G7U^tvdf^2uP@$Gj0MSp}VRlqI;)9Rij8~$BZ zA^^sD>XtBERl$`_=3=w8w&HWcmL4?2JQZG(bu7V-;d2?^0T6h-M@<(DR9Jn_l*xLP zV&kq>FU_BsLhS%0;}LFOg_ zIFFSFby*0{8mewWerc~0vLpa^O(;XrlpoN;Gy$9z34B)x6?-Ncd`!a<3*E7svxMz} zk?+uDu{X4o_uZJ9^W9b6ZybXSDRpDl&TCw*I1gpSx?=Q*3VzCQz>lhGp`$VWdwLb? z6zWD)_Km%_5?T>FgWu`~X8_$>VMeXNG(vyU!$_b5zVf0S-b{@ZJVnl&VpTlc{6-Ob z(G&Y|YP#eOR8EAwMa+pg9U@k~W6Or{hTJReel1_`P7I1admFR>J1jwPic${~EK3h= zxt!x@BB_3O-kg&xe5N9G@Bhdd+HVQj>$8bFWZ_WEL|l>(A&>Gwz5OJw@2W2iC(rZ@1Dn>CaDVXH?7k`dRwwS3 zYxR?hWm^YU{1-{2Mii7!Y5CL5!|m8!GhSsQdF|o`p}^p1UBKoPs{^o&WWB!6nVo3f zbeesE?kSDuUCvw=R?P9tD5Mvpu8^?c?o1i+U8b!KDq0(1m%cI0Pgo>O_8}+wb6~;h9wGd(0*h>cD63oJ z+hOb6tGQlXjknq=8GMaVd1-LOwyE*jEAoysZ2fTa&&`WYxiG!P-jnf75}r-^pptlX z610u$T3EBomvse%lJz@?6cv*rfm9~}P!Se}MJI)xXYD^e{N?AhSOn}2(o9moyXn?B z>B}Nfdf=92xZU|Bo?yRu$J|1k;nKq_Gb{2EbmWq{8CVhJft0x`?oZqximM@cwOjCm zQFO+#7?l(a+TEr7;iy|~;DprPt$+wLHxK{K6EK$Rm%*Yd4caE`z7ASCFBn4YZSf&S z&|E#Z0`MOae68mTBU$~7;PlLmElL1F&7HstKHKA}+YP&88gJt|8~aDprM@j)w%=&< zvOReDU64P0%vD|%WK^(t{yg?XhOD|?KS-vAHW;9p-}|#Y|9phD z9eGL5w5C`@%rlyfFGYVujogUf^RApeKm03%1vr{KDevf!rRE6jZRyC-%qDS0n|&(i z-DPE*k}E_&CBcUF^Ki8< z==wfyO|7ZZ)lJc7CW;$w$G1esQ!h@&JkzLLI`;gp>fO7aU^cj_ffaAI?yh0#h!WX! z{KCzHOoD~9?c(0fR+eFBSC7ryyPWLjAe`mJ*oHGEy2U^F>czcZJq>*N@2&g)?BtJ< zwXwMexiOV0&1!hma+)3E89X$#_%85IWLJ6Wo-w=Ffb3Ljy<2=5Gt}P;V+!DsODaT7 zmR?wJWj+i5QC=J%ob_>HUX)(-c^sAjgcUQpG=C4|c>q59{=Mrkp=2Ca^_P*2AK{PO z@IfRH2m=5cy;I=EbpGF+Kk^*9IY8MdQuqA+gp_KK;r*e{ut|+WTOF3U$4B}gE@2tj zsNvTkQ-fn1b{+jtY1;aHn1itu72z)yDFJswgr=i}Szx!8y+!oCZZGTAAe8%iFTRqNo#xK_T0~g(Kv0gk$w7g`* zfB8j;wHKCZ>yw-B?q^D&5>~qLA1Gh}p`XX|yl?;ghW}!${PqqMdJ77Uhs)W@b*bTq z4{xk#Yis)7dU;^>3bCHKRWS$C@P5D#`$j zSKaDK7-+rSIu^a1_)m>)AzRE(%hx*w8tR!ztS^5;7=#fMWG^&ZiAB}7${VqL#Jy{O-56dm4sIJ4+Rh(jaRk93jlSotLAGtclAi3md`!-{}+z>sgdnP#) zm2v;NmgDu>-a@b8c>ivnt3noSr(>aAU3VLFRzC6Zu>PqvPt-0=w;iDocJAMN(XVkg)Lmp5>{7B* z_Pbszw5M0icV2C?bQ3eXKYf*eB%Q(hthtf zxaL^L7T~Ir4XV7eiBU#h1EUavJ?IQ>Hw_eh2-N^}in^tN%h50_=~w{(c!! z$7*Y7ZB4v-rJr>6lNQ5WV%7Z%HwvQ9W5V9L2CCVnuW=&2zwxg0vHjN^{!980+X}`j zD#->b>VFN7j?*i0V(^3N9FCNU!sAOQi9)YRCs?0@e3MZi$NwWSEFs4&pT%+ zi-1+;(1Ki+!2GJR(R_35;ma8bykAzw?QaDb{W;IqYCiNt^qgunQ>e13>84DVXD`DA zAhS4LL5|Y$=$om3y|#b@Wf)ZA#>i6G3Jkp?ia!5{J@O#muNKtreA#&a<6leR)0>LA z3y#BvR+!~LgGrpEk}BN*Gb)!nFKNf=4MiYZNSOu+f4O@6p*iN+7g@v{0Z|pz@u?+p zc{U@n3@)e-BB7(*WG3Rg<=1o~O*h$da-eWQ&!IC>*!`S|yxB9zw8QGS1YpK@tkiBL z@bP&k1HA1_#IL5WJzxBpH;A52%sznhw0^Dod`35s>A8d=Y)TKhy3Xd&Q>5zbM5z2Z ze(W#$41D^zKpV@++0|yIWB6ZuoU|q``!^oV2b)X;+d4 zukrK)OtBs8{Q(lpU`6oJ%S*OxOonEuy7qnnK zxE~9b!+U3HC-siGvyvxXadkmElWM4Vq6Y3L-?_tp4p>ke6U*+h!Mr6 zU-C_gnZ0TjMZR3WSY*O;{p=rSjtG|rVG9d)t=W13{Q?P4ol|NqSFX6GzGt>0nRLQZ znDsO(McZ`D$;f(mx@gR!IwIRK?s>Ej=YvLKty6K7TY5%G#uJ^D^bCIY4Ys^CWyBV@ z4+1?7R4J`!iO8du!|vzF%avu)+2H<*?6zn(!gsXebw%tZ8mR$!j~|~p2D5hY5DbYZ zse=!Cc-iw>;N~p{rrd-^9373-`1m_+p}%5kqmqO;2bcWtI96JCK7Jk|Pb@Fcl-!7F zx<^3pAv@A#YFba`4?-?81iFW%G`}tja7r%u^y?TPBxC7Qk!P-RmfJeG@pg{`pj%#}B@8ghsY<031=zP_`(v+nt> zPxx~jTfH?;v6sQG(cpkMZGt$;Yqy9gSD{R{%4P1ftaSFZed{wVGI>aH+bD$3^?e?~ zUAb|e@|vJ0K7EapvWA+t_Dko3gjNQ!IX!+jP}SlSYRaCEd;L05Mv*B27@M{o)^6PP zjIq01<$Rhje0scTYxorC9TFfJwQgIudt2xl@dU_WEDNCFLaf4S$$LtIy@LJ~#&<b){04hui97oxA;$UOXXeSGc6jU={Xs;>Y$L!mjGd{N1ctm6y6Gz z=|v}@*3%U$n$J(ubM-GcQl9;x&1(53W2)&qC9r|LvY*2NyUp~LN`n9* zy{>iwLTA$r#HTs>_+~F)ax7y}hEIP5sFohlGOEl1)K`D;e37WQQ0FsvOfO2LcXO~Z zo=k&B>r!N<2S}-B@k0<%Vo_LL*-|wIGk&s@t4^`v&tu`qFV$czyxaff4f9{VoX7{l z2rE=wsPAcbjk34u+Qt(OtM5mGakgvn4tk-|@w?rFyE>t=Q`N0w9_qT>0XkTg`on;K+6tU`8|*k3B&6(AIHQuQi^d>Mj+@TIl2BlBX1J?Y3U+Mgu^Yg`zDZ}WNl5y@hBgvLbZ5e=JY$y3mC^LSXGaaMGGGXPXUzG zuHz|@to)nX*>`@FVJULZfW#d_dsyqG`Y`{~JQ5|#n>jhH%Qi?#PB`oe$0_gbxX>$7?sM{o9)K7VyUl0^!y;>(|Ts50HOJJLigxsTTArU=uv;mNLiHLBXqR6?M`6 zPVoEO_nbWm>slD1TrjZ7nQ}$=Jy;$|b16(*kgCtL#<7G6jY7YQ$*K=!idS7{V-(G& z`80n_dK^~z;I@13u}WqqCCZuJftn3ueeSE`UkKkz^u1BN|_Q`sxp?oQy58lPqV@{l7W zw}ruPuzUBeGgF%EEJ;zj6k>>pSr@%aD$PlG(GLhigb6Qbu?ZZ2=r`QjB`CJ4uMIo* zHxJ1o`jYF43kRoO1AkQuzO`_J&n9}=m@$Czdjk@m=AS!lA|by?QNL-~r)PHh4>y}Z zQXf%VX5iPKxIf-sLuIT(GT23?A81Dg7Zn7DK822~ueN0GO4$JtAVM70!k-1i3NulN zx_*?~!>ISg2!1iEjh4x01EneT0%Bf4=jma8^z~6K1U$$+*<07HgqnR$!<360${hlNB)(j`H)NxYpBiuVx{^GD-f5MM%}`V z_Qw?YzSj>R*xJ<86#K%pAN83-88>Fnn_>>O@x*;%1qC}@EKjRZT zMcI;2;&T2fOKtpSZh$P9&`%n|*T$j5oo{A5b@%_SBJ`N}=wTrS%s=uf`M;~a{m%;c z-}~)IQ(*P|L6W@2q z6PaPI5ffMve*1r~1YQj!XD^(P&|_8B@Cj=EWwvqNqq}kC%7CF#x&{Q{v9$W@PPg(lx@D6Y1kc(mm&{Ib8ZTr z!vho&YF<`~`y?;!7m7HDfnwM1LxbbLIuLt;KF{+>_gkpwl3P>U^yESfUUZDmpGtmT z>Z>OCW%-ZVq@`DC8*2Dp6PIa~>-hX_G~qceA#s7mW&EY|#n)D#uw5xZmvPPF={cO2 zURzDna$J5F)aC{O(cRnkWmaSMrTC@R(2gbU5%q`N76k>hkAofe>ZG?r)tVcr>A+xg zL;(8L$HhkT$_^MADtn@I0<)M`(tW)nE@CdOq$M_Ld;g)t)rL~50=_oJ&8J!TJ<$T4H>58WS9YK|!!+jw$ zQz$}>@LQ9dNQMHSQlVq!JJs(7OelII0v9TbEN6V3PwieLz!tjQKc1-F9;R8N@6Cfw zn+QOpM1-OvALK@BWTuklU*c!#PNQ2**GKC`oR`?cZ_h53())QUf>bmD?Wt^ z?00opC4V)rfzx%&q?MYp05|3JPF-$|MM1Z{mw3<(Q3ybc3%7%^ngGRP{$(qmcA^MB z9P?_=wt4GBamVU6FWC5tcA-p6t)9z~*-?-DjUQ`W4P=$g^28)d8)>&(d>)m5q|jIK zB~%PRjHatWVQ-v8@+XNEPSIE+%{q5$G~!c2W+E;4isQ8Rwu3Jf#n#^1FC9sB<-O8sR$0x9iiFP89|C$&QK+AXus7eKh!iej@sL0zVL4M^N+X7q}gJnu`?+yddS zoQ;8dR6hue4DB`Z>k>}zi-iibtf5jgEXsn!%BpMp!3}t@ml9&|gPLHL?8VudiobYv zck_ut)=y+8sW%@)QD$2tVrdu|EW(cO1ifxNE%KJvf95rWMM+$2Z@Am-`#R@xAwIW$Xxe&S79QY z9psvJLu|_TA;Lj>fm8qE>NMo5ZkfE;1c&M7^E~wzbB@ku)er-lF1a~N8r<@oE>T5l z9_3#;+>r*mxohOCETuU2;%>&d9*a*#3AgGG3v9K?JxfaU(+}j3~=MT^13Zr zE$(muL4yH2{rV_ z+y9F)h1$n3m$(+0*fE!E{7FiI;|+A6`8Kq9t_xN7fzp65RZ2%+$^U86pQjNX5J?1$ zCgJof?Us>;+zdU6%ifYT8lrSNx?6@?(@5+-~*4sLUrlB;fTBhZ zKcSv>7FpBH$>w!RF;eIH{{v+-yZ$ zBX7dWzK>B-?l~7xV^^YY{vL;fL~;C}Gz7o`Y^QMuyx~cUt+6qRACFOtYgyZh1&UTOyg?A|DnrE8a2fNxG-SzD@f-vW=lfx{ z^%PEZrvLGWIlO`5Oy zWiDw@;XPZ&wMKtn_$m|(C6R^GU%jG4hNC6~C*BU0*?nyb?KQ$w4@#A^!i*Vxa~x?s zGpK2_F1J?MjIDrxBq;>#CA64ST4O{pqtXk{X-hb0XNh1{p1QnW9jH!4NY zgTGAEyPSt@$sr=|OWZv2$96FB*@Arng@A)XXPJ&#t=$(oJ35z!UzD0J^M>_cAXZ1Y zzS6)DRq@PSr9PoIWVdldTuu#S3fUP#v; zov6qoZ4xKuBBvIKJ3Gl$%1jSw?tPA&JLCenu;?9aKFIoWkfa-NGl(*Qxba3@we`!j znt|<^J{#NHQ>{~VQg>zQRebBd-7VAGQVTZO8q!a?5`}Hp*(!QIz8&iL^aQhmQrFGu zY_=Oq^GpveAv&x1$9M|vEt+@gl-;;M?e_D*#IpLjJE1*td^d5`H%EKx&d>iPYj(~E)lDxwaeD88@~d`Z#kBr{0T2j>*EyXhezel zL<%_u-=Y#i@qI;|0H3PYm0{0Lb7x`mNQyGc{>PF1-)nnFbJW(=_kFAD`+4;% zRIcs(vZJIqW!qb~w{<4|a>+=6h;!!lU$=u&8`V1Cx{T|TT7A7ubFKM+yx!p$OZ*0% zgstxC%^K{|uH<+DPGVNjQ0=NpNF8Hg&(`A}HuD{0Y%bRe?iG>tF;UQGkq9RBtJZ~v zYU-}_Ggwn@u?T8-{ibkEEH|WJZyYzl=li8>%^~waoy#$O425WbeMb}qEKatt}-tE@b!nw@xChWB{~h)NG!g3AK7}(l+rhH*|ab}qj?&WYi8f@CQ$n?hM1v^hm=&l zoa0sA=Mh)TGjHY~FiOC-$JjUhvZ|ZBDpg)RwZEd8A2sge=x&;5iGk9Mu$SGd{WrUA zeu63uiv_>zxz;Mul`|0=r-Xo!fn5PG;iY^bd~9@ei%uFA6}ScW^pK#sVKRID3-i&j zlqutf4g}7JBHm9)qHnvFc7OcMlf%${YYRTIvU_1Lzy|BsXBf8jN^B0v$D*0J7KUEm zm_{JKc51%46<7dC#B4Q2Bg->&FsijUsd5^q6)tVmI zwmtAh6QR3W$KAv8rYvo3~w z!9tfKt~;ox8X@LJi%PBN-sQqC3;+GiHyQC-bnp<%!O58B>4s;R7PAI9#e=z|C- z2+mL?H0c5YjuLtcNr?2J352GhcSTW(fRxarXec2G2~rYB5ExOZ(i3_SAwcLQp@YB7 z^E@-pyzlybYkli`-!FgU?6cO{_bz9jefGKcbzhgdinVfrhte}x*P!WY9amH~IVZ3a zAlvVLgoK*)LMD%vOTKgD!tJtpDaqi5UBtUQxOkWtCR%N*6<|Nv#JHLZIH<@a_#tn8 zyQGw{rzX?ko0^(OJ%1y*x+@WPx#g9=|Fq!k>8l^<{TTue-w-QDor_!r&kM~}o~1$Y zFaY^6(pH@9Qu>(o&nGniXm(N#_T_>X+a1i-yMbuj{nrD0;#EbZd%=~jUMclF1m`ri z7ik_d`%?4|T>caGx5Li^y?9tr;COQ-Kunp_e||y($J1D_AvN=KxSWacHIpCpZ|3{9 z-Sy!xHzrVzbbDN6@B*BT>}`Dl+%ggL8}}Y;&>jZXw)i@Of-J)M7`r$L6(Srdummiks7 zCIypvMdt?ON+D02E5bA}r7F@LL|5_}q|9Hq zqup#TE9gdUUXL$GA$W40DQ3O8m<$?rU$n(M&$jASjOtCPMFg6*yCXvHxX-&~9B$3t zn4YLl2@tFrS+p!mGw)kW=z7=K;Yu;Nn@$V*>CQ<{C{MBuaA5&rAEL7pl3A~emfmw3 z8jUQd0oyRR{HA{Y8X#BSG2XFmTY8lf-+QB{187DVpv*xhsS3n?Ru1*`ToJF>5YnR(K&X3-N z>93?b@NG!7?)pA}J$gn0+wjwE(UAB`H8#o>s`c%`lmFQI@2Oj%B!)5};~C9TTL{~b zk8BWjy|v4YTkK0Zar?ht`@ea9tl8k20JKjN4;P2p);1k$&XRu&K6qJ6f-laEno>bU zmp>RwWN&MW*|6C#6xxW^K2LorB2%J~@3ltF1d6a$8};7$*6}Q8WcYh>w=?3X+Uugj zMyQD%DCW}#DNZ=^VDs`-N<>%*1BdmLR6_2ZL!+dLQqBPy`SJC%Ro%JVZHHQZG1HMn z&Hyr>pxz@4rl0a~^@6#X?rz%Pp;hc8bzjZPeOuYKG->GrieQe`2DbT*Y4G7 zG6rQ(sB9RzDFJgspwJjmZxGYI)s!Vg*nQxgq)WB-H9-)&%y=ZHx=j`0z8jBW10;NR zuGWO=c&vg9Ux3QNIq@kC)A|XcotJ@-xo@ZV^yk|J6@#fT!_fGgoKd<19%7(QgqFsZ z4vjuHb0yolX?Es;c)*fFyIsqSUwz+}EXIG~gycHiCb%~S=ntNj*OX=mC3;zF6>nf= z1s2;nKBVnyM}1m3(_g7(Bal0Q8;ivznrT0k8#+|>FEN_M)6)C<*~EVqUc7W*aM!#+O$sOaTY1;TiJSLtlBhg6}SMAfu0 zYk!~fM95ekF%n;IKH+U0UB|}NGbVNSu(~37qL*xO8YmQM?p#8%@zL|%z&yTU$M@DK zSf8paa?`OZZ{@%&DiwS9J~r=l+Wp$PPK)>h(N5$RgOq5@xn<4Yinm@}S)NR^nZG74@lSR`-o(-=xar9LMQeM?yFGRh> zg+11E?&noKY zJ+BUMBTV~6+(Qdegq=cuaO66@tMt8G!y2hGk#Eo1lIaTM+fWxQsY&ccPWF$AfI#Z} zqhKia$TWd8hoF}HcpVHN(<oT8pF42_u8T;HR^%TXGo4t(0u{Db5n1C6$t~KMK)SHc^1lD~% zjFQ%zK{25My5bL&S5IYg@sYo=dRONsk6H9ZwCN;(gI`haj2|)(Q&gYf~9DQva-O5)N zC*lYBHz1}A89MCF0e^ukN71t{?lpK)qU0f>63+#-FvQ%^+oaQ;L-+D~*mEPp+fET{ zXF3vV`3{4O6Ux&skez$<&pGo^`~x~{$3p(_;(U-%N~qn610u_2rMRTpp_+x#FG?k_ z?!5ohhj}6TSY1yo@@%5c^u+0Zy+KYo`0~&iQU%^Tp!uOn*jkT3`%VW=vd&&bJB-jL zYKa}b9zWwEhd_l)Vf7<~`1d-tW+%o7;ZVv8cT|c^Qi(2s(b6Uq#{`6uJS3~}v%x$z zN)WJSe7>nZ&HSfw)U&JODOET-Dj}!Xwt&*0n0CVjxQE<;&XZ64HZ*>_G;twqKoo(_ z!Rr*XacRXs{(J}gbf&P|m?e;CcfCPTf~M^4Ns~{9D@RR8&G_|Zb2DX0{t;RySSIjh zwv$xdnXYvzQR6?H61qViTtOY~b#u+-Q@EE=Za1RXlr$D!^^}{$KK1GON?z?Au$lLi zDn@M0hd~p6aBxQ{N&og|$s8O!ATIWPL%!SBIvz@>ee`?1+l;I*D!@V5RD~ z1KOKMb)l$&vD546KCUyv%E8=-@gmq_RnNx7*n>1A0B<8=&(^8rICymGE!V<$?gyx1@#wp<{*i?r z97N}x-{y~seYGxJuwMQ^TFmrq`=e>u|J&dnCt1dnZ zPYHkPXk1IN|#YskiRmbZQ`D1n$-`Raz-BTov$$U~v8G=1e@; zz1lS6U7S;4f8YZVXt%Myys+Co+U}^Y!yfse(Qst`8-w<=F^f=cz=gCP5I^lmgE_46AJ$JS`h=DT_vM`t!1f(hz9URR}R*%blZJIW=c5U z36LtXC4{dtH?-RO_td+8Jt2`3t)7rxQ8NI5Bi)cIbeL=?7UgQ!^eFq=ut;J`iHNgx z{%i@y&PwzYMqJ-wkOz3OuMP$9)QX9-5~yug-oOQ~vY8ze2L*IlV;+4{c9x}9&;^_( zq#ANT2YA@5*)?~gK3U5Q%W*?wB=A|_qEz79GoGO|)G zd^^yDkVNx&XWMIT0VZ%YVq?mtG$hW3ahx<3|Hpp@)28kB@U4+rl#Z2X%o3bU&jLpY zy*`QS4J}SvN-A)El=Rdsj**L1lL*~hnF1%rK}8zkjeS`LSJsIjq%uoUl|I;i#P}C; zhDRM4H`N<@aTUSJ60TLrF|%+f*Rc|77I+TbdQV_W%ZMO;*2>(9lky+PE|2CxQU`T^ zpJ@h{SYrJ)Es0uhA4&_HFCH|q8JKUUQWpi>@;A+_MBo<1ZJC;cuG>&sSH9_Pk;lsQ zu7pZXK5r^yV1WV`r`i$4!X7kWZaidG-AvA2OyZtw^81p_#l^*4`42li$9L~n1G+)* z@n4i@JN>*a7Lxn>DCJbwB-u&v{2Ohn%N`X` zFVt2ffXxR~r69~Q$jorT7uN~SUJ;MrAf4Ao~C1{o%KliFa`Lz^*9t;{&P_4da{ zn7e)QDuNQOaS0if@uyJ-msQ@KBQf|nyCyY16@c|D7CbdP=5G%0XEwjbqUhFm$lNeu zI^C4`?Gox^bgy;9h=bWj51Znq`Bt6@L10+#1`|u?C+HZX0`IG{$8Yn3XQ6ZsVlg1C z*y_HKjA3Es+4>7GXYKoANu9pKi+5;n zI<{5|&fw|D-AM(o*WJ^%FP@BC({P3t$V(q(@3Z3LvR=V;8L8TYmJpye?F|LKo5!`{Q466g7; z>o?z+*BZOJKvQ4`x<`LL&X4x zJkGa>?pGS92cjtJgm=C;+3t3ek(Km=I@9rQ(1^IKn4qUSffmP$5 zp@-IXe>#(-tshb4_kQ_T5$=hFbFU(eEilST++;%j*&@F%siA~q@<(?Mw)pgWz=88! ziGQq4j2N@EWMfmf9xwMnRCk(R2C?WQwuCRkMD9A95=QRe#Ucz{Mobl1SmtF+WNj6f zIAl7E{E``fgTs619~_-|o{UQ*ca{!S0T8)i z4skw=PVErGss<2Zf;Z4iTBkz*2wjyNp zmBb9QW@bz&VV9tGJVfZo`^}zufQ|=s1|9&SN@!niX$psY`y=$X!g=fqbskG=V)jt6 z5j-MI>5|fc+1!_{=db6_fh(d?zWB3{lkvSi_I{m;8i!A!Jq6bb>m8=68HbMWiU;DJ zpl$!+e0W)TV3CiLidOJ~?ex0q9TFRMM0%$CtB6c!YP{h{OeeJIU3W$aX86Fu5Z}H* zZgHVM3a*!|E>7hvAj5jCOC{r$tV2H&jjYd<222bSl zZ3X^^hc#PmvMkF)GncRxWm5t!ig7z{83)o18`jZ9pTSmnR?E2L1@IfT6Q&eKbQNpb z{?VfC8pzm?8ZBB7F6cXAOLLjE^Lq(tL_wu z=<8WfGR%*1{s+y3KO$vTO;eBw)hJG-iLDH4jFb*b%3ku!ZbCV$bw}NK>U7dI&~VDI z>y7TLr@D)=VGCN2Z8Ge0Sr^uH#uJDA7sY*3>XmDxU$mrbi1q{1PIu(L<6=FpO_rmv~vR#iIuTmzcu zK*J%MLE&zV2d{j?39#ooPcM&!&f_8^RlX0bdf#NsmO_?aYNi6%xZ8!1;LK`BEw>^ z^PP0M7sJxh0V6q+xWe#CgoHB55yLwByiPY5@TADN{>Som*~+IBL1l3A;vPBboJ1Di zY4$#1qK=L!*WVWBe|60NTB29Q@bD2$Ai^lLjvdYbMJmf4$iQ_6y0(;K<7Q&1tKA<) zl!0xe2<1SsCP+ZfK;|B{u2?fes%|$>X;lyBl7Y|e>-kDfwRXiqn-$VD`_MDY%wx&k>vQ&0^RZWb=tly`~Iu7osgzS6vXwC*N6Izy%Sm{Ny&SKw^{srBPGvvrREmp815#6AnJ6Yuk<&qxQ5W8_CBq8d^DIPqe7AKTHr0CR zxI<;Y*{s2Ts_4px5~`SynI9|ziXzMPl}$bnrdzV=iq&-JW*KFnTR5Pn`r%_MM^;^8SH2}htyIvA zhf>@f^rBe}q@MQ4?RFCuP!bF^AM_4Y$^RHklV;BzW);7lOfb5FM^HRm6N+QwKBZip zV+n^foJ!ip79)lXw0+#93cxLWt@gZ0 zBvFcJdlMz1hPdh~TGLSf$2CdDfCrCFJF`UChfj^Y+_31lk5@Y693L$xmqi|6}lXw4K8*Z7?I9b+8cw=Sr$dqY# zA0PGyM>W`hFkEq?cE12Z-Eu&bywv6eD{6l}Xa4t$f25B=xp<(D;$}I7_}_F^W^=)P zl+00ERuXJQ8Zf6#Ex-Cpp&G2$W7kJ{(B7!8r@MYC?v4ojK32e1BiWRN)|SK@G!DZL zzuw7zJ)#?8p>gWsyG8?Af4@%+xji>57jG{8TcTnp0jg*qczW1V4az5D<#9*Ua_*Y# z-1)VcCA*$Muz#^opZ#@Kptgf;YZ^KqfnOa>{(AnbNcW%yPE5>reK}E6(FtCEIjl~I zu47E#!eq+TzU*K~lmp6TYI`iKhrMj?b|5N5^)w&1*GY;a8Vuv;$+xt6&vXoOc23?7 zkTFS>xp7|xEc&2NUuLsRDtW{!Day=n8wlOpyS_-!N`44(+K@w0I##MAu3WMJL?iOJAro+00>n;LEdb&V&+zKjCYD8aBV0m;RaSK4RJ z-x5i+4QiUYEF+VqZw)$vDql7d(b%@c){M_Iu6m zHv5Rfz1ERGJs9jWi!64Tr<;9zSzZwNrF5r1Byit8GNq51(_*EtYUW4Q^C{LPwx*~9 zb*y;rhy-^x0wSUw7G_Msi;MC}sD=m5x>_ZLLZaA$>Lp?E$R}a{860Q3X0<;vpO2LC zXZw4Xrwq|SymCt0VF0Vx`rU?0#V>M@^8~|0O=;=&$Wxwl1U7U#dQ-0`)`TaX^t`)16q`AuzuRqLFhd#~juh}1CJAJ&1w&cC(1X8g z_-JL~5<@6UqglVo(HHaM>W0_7bbA+@J^fs(U_|p32}&(N)BKfXU%2Y^jLLEcZ%8}5 zUqChq*PWfDp&aFx-KrkHIYp%AB*m}WmF*EEfmS?~*^My?f&g)=RZFQoHvYdXGDPd` z^3bJbspvK}|{4Q-9Z z8^UDnO@VJ>QwrZ_ZCc2D2>Vh<Ad5EX8u%k(LpNHTYHZEIka0sQk>PJfwBOkdW>iN2BXkdcZX$`B%UDk`r~& zGbdB+4&GB#)W^|8?~h%9>XOR|1)Xhc`}q&HlfmPF8$!7w^?DY~>w~td5VMzo*htsX zjFpm#+O|-155FXK8RQ@1AY(oEiPSF(>Q@8jm$?;d?l`ERUev#>L7Okse>r+3o8YSG zF*Z9U9{&yblfiR)+u0g)W7VrUHPd<9PG=u~6ZEehIbq5fqPi98=sfG#y|_CQtnK}@ zwp(#S!@vJEc-RSkpMGV#!b8V?>255cEUz2V^1U=InH_f4Gxo|fgIJvZbwnJCvr_7U zmxrsv)U-!VNdGI@5j8u+yCwf6JBMI^+ye$Z6d+8DCp$z7 zz7Tl;4b4ccr)}=VU!zYS42?CmUdksq>%qOlP(M?lb;&sQ5^TPUzd4Qkpft&nPPV`E zgCm8EE*#G&=8{uK!^W@WHmE1W`)kkUsU37jp{m_G6W^G}n&_+#QF zY}Pn^kb3n&nwr`|DkbV$vW{YUokmS{J5DH-SSM95pj#PLjrDzdL6bMLpLvVKFC~c0 z5#MrAN~ypwbrjy;zrt1cb7D$`hH|2gS>^TL*|$k(VI}dIk4(PIh=b6y6c2S^2YSaU zYznq*lTPngZ-zbl9!KQy9{|L*buw)w6b5{oq3Ts)YO%~D-aU}yB%ovYWfDk z=i-xoO5dnO2c1~iHE*G9^%U=IC||Bu$j>rHNu||%iI{6DCkL!Vw*~k2%fC0S?iunJ zYG@+zRDh+zumS#swH=jo2o&*jyLnf%KkP!8+mQGD=ubsf68rpy^&W`5Z< z%BwFlkcuVMohnI$0dQt|*CY0~?uu_OFO67ZFxh^T{=tU$K*;Yn-wa5+Wr;PLK!U3+ zf1Z4wO?0HsNj3~L5nDj1um=ow5;V6y-!g;->Y4C{1A?t`-HKyhy2acuGkejMKlD2{ zf5gG46`Ovv*g3en#z-S7;X<+O+VZjysCQx11{AgKx;A*A|6-Q3z!uu;?RUjd6Q)#S zwzuSo{Msgv*7DN+fe?F4jNd$p{r<8(lPdVJxBp%h5L;#z$9Ha~JmGBPgj!p<(kqJ{ z1UyW{VIOD58#Qw0Ct?ogb%Mzar*=XJD*49mhbH(Qj~n;g_b)2uyE}JJUOQ1)h+t}} zfA_X?^sJv~pUv-`aQEAQ4f)?+j%#7XzQN; zLUr#KG_vgACTX4>V0KnWe5LCNf}kAmCZ>h`WcOa2o%cv%g*G%bo+2djEn!3FdO~$W zQazZ&cmwe5uz6vq@%CKNCS0kB}! zOkk4=TD~6wxi4~LijV>?A?!X5`u76HRIuNZJ@;TV+1IA=1s}3>9HOr7J%yfZFzKu7 zW8wox7I~GSToLwH(MOlmf14DzQKl}Cluz4gVKG$)mgTm_a~N_I zuv>6vN2w5rDtj+nxu<)Rzs@f2j6Njt1l+mp5OAmC=-ZVZ<9CyZq#PY*pOZs>(K{Md5xbxdkhJuEaduVW;~I&?wK(kNkJVpm+ZBGgia z$i>KqVTOEju!y|h$$w7ST!+E=bqpR7v)jQbHI;(FtRkw=hg9j4K2yD5hO?Jv-VYAf z{)=It@cgQJz8ed*|5Wz*SMFn{m}NM_tZK^wH-`k6Ux4rX(uDpKe3ISQcjA&$LWTF* zV`{n$1T}pz3)Z0#notFQETF>0?>kh-z9Yso@~&58vgMY!*HNA&G2FV=vJ$3&45K6J zp|FJloqO?)O28x&s6)=RUEjVc=8HE215y*2-rk%MeMxXYdyd48PpPwQi)e(w@XqE+EnNK3DfZ55 z9`EzM09ZVA{h=An!U8o#Q^d;Yc&~gY574m{224Hj92F_@EXxS(I4T835*piynP(c; z?~(ih3pG`TuEIhn=>nCVm$u+Lsq*mr_^AP9+>YhgZKp2vjvz9&WD;+Q>S9UM}ARoUH1N z#<0R}pn3J!K~MS8bAZ_4867i}Gb8%XwZ~ET1fZN*SF#K(S|VER`V0a}My^Q%c7<45 zwzCzx_T>YpjVwI?DpE-62S=^xp7pt$*l!ud>$(hq3lA3AF{dDW_ci<0a2~QJ-iLo< zFoK4Zt+16lJ7@7lx`TU}Nw(ZKXsW1%Mdj8au&yDOssb~T=I+16S4^&+53bYxoPotP z8(62Rt-y2#g|UtaOOKnaYg=4K+vExfZ>z_u=>eIheP2$Mv?y5g*NghiV1Vsqt6Ly} zffcl{oAycLht+H;-^YuK`59cwe3+a5l)7sN0LJ=(P|T}jkJG{Vse2pHP_h#V^f@kx z>-qpeTkh*$PNsi%v&g<34cwqn@gND_oZ8br#g|LsF`IetZ$OhvR4+~7QL-)kcJH0@ z&2KTOd95=+wlcQLhytERynmRu?h>!YeObQT%r8yiz6{eiR5Lw&ITV0Z6NUo-+x9I* z$9k&$yAW#BHC$RHj{9c77q7Q5!|^YW38OM`n1kd8C(Z=+@%yY%jquU52eB)SgMGR` zI6O%qrUzMdTEp&dG%X;b)%7L(FR*HrG8(qC*bt&!ZUpD=92_4#+e%7$_M$^!R0Wh& z#Qo_21(e~Ss1L*vu$I*A8+#2?oWvVoA|m2j-=%YZJ?)DK{|?M~qNO4X{$Yu4|Ju#Sqn4e$_f(1~s{tyTO zo>;j1$G!aL@A$882bqmUvQuM4iKQg0p@xfW6Wy9=C3qwrb-Y0@P(hcrm`5cf9!VqY z`*_+Bcx<71yy0D@p_Eef zQZg#)b5hED!w9OpPRr^eLfyS^t@K;+)%iqxQ;6fmZ~tz6k8*(%AflsRX->8j+ONIIuZe zPRWcbEL^eRYvtujI!v8mDRs1^B^+tX_H5@3mH)fSTFT6X(#i#GeSk(p^RggkLwww8 zOPVs--%e7rD^anj9@I*OOv~!nx4#_LYYN*tRH>Ul@;}5z6NP4t9$90q@3~+XgDlj- zq`2y@>CSa(tev5a{vy-heZoX0>GPuUyFLx-u*4Qp{!yI94saoqjv%)S%RVagZBDL2 z3|P9y+vEit`sO#_?pPG_TeO_lKBsp*7^sUjoPss_f16;pI&pcCQZKx%eX(8T+)0T2 z?pj)fi^ymMpqp`tyzt6C>)YEz+lC(;=YMcC4!wVJF5C1jJJVmk6US=#fR*!hzxw%v zVs1)g*EWZy#A7C>pMjX3HIc7|O_T*P<#Hqe(5UTHwYFV0nxxa$%#3A#+Z+68PquKi zr}m&LFYz6wW|=oFnuMWQ;2R`UR^0AYB%6|2k~jHhe8TtqW>0VYRp4+ps@Bq`ao{UBd1ceU@9k-qz znlS}^)$u&a+BB0jVu%f>^G=nNvqI;*_ngRO5wP04Rp~_XIM&rA2?+G}Lfq>*(ocEhlWZ&Bp0Dq*uN6B^u0q=g&3MJK+B~W`;eE;lA0d;BU%Zv2Z^?5BaPxoG zS&EH|j;eECz%@J}t))=mXG_8;euu9RhH)%Q^epJ^-)oTNlS84`hIu%_MG6C&JP+wdA{FrgtBQ?b$2M>V423YfxXl>K=9zgcmd#QN_80KY|S zQHL3s+|9(4LX_?Fh%vnpd;&z-JDsmuzt_-;ck@relsll5p|JVWOFw;hGfi3wG9p5S z36Gb)0MIl2pHz#4Ms#)s4u65+?hFIfQ3siYuK_Lb+uRSUV4s;CH_Sv}xsULW6{AK5 zABpU+w@{dT_<~KS8fnCa!XR{JwOEQ-OiOY@q4v$jg=53>rF6Tb_iPdP%Mg?^<*0$} zguHPSdnk|NLgYXlMRi-y@%@1cwNhAm6{Mh|+V z-zL@t5w%~msCuvrSyNz1dVvySdO;hX=qSC&Bi;|^u_Run=Rgu~HP9^`VNb$MMbB^U;S2$POJc4@n(2lW&4@AG}uwvf}iv1@^C!b2hatfu*e4OgLgZJ-Lx{N z`cY_UD3|ubVA}8x4xrlc%Cn2AISYwj_w5M$rL_pXd*w|Bw~Y_FvTIiIk$i*Iyfq~V zIk(Z2+k0e=<)IcWdbz6QwgR(LnO-f#mu6);sEjL!*VvGD>n7z5^lll^W*6Oi)G1PV zoy!5v(>1cLetum%JHV}6+;ihOsWd4AhFB3AxvoXSh#>QZs`clD_mNzSNx$3t$`e$S z{~}4Z(%m-&Q1>o$zM`-3hUbn@R=o&`K5XCveT@w8B&jJBH8rWt&^g(IKk^) zZUO$O%Gxi2HH(-myGwkUla@(&KKXtJ-*xXp~N z3D&(R5TxBUvYWQjj6J4%G6T&PNdB!$uF|j+o0XL0y1WU$F38}nkxp^WScD1mKAMR* z*@|~Sp!Ior^!xjyyrCftrUmNPesIkE#P)4qvkz6(~?QL@zKCN7=z^HeZZD z8fxwjKQ%n?F*DYBZQ~)8!VQu_)Naks8Am<&iJMeqxxm>&4b(`~N#BCElg4~f`%M$k zn3z5(lujZSkEm&8h@6aV`89{KpW|=;oOJcUt9X^dtJEbbn;86t*05@VF4$+QglvKt z$}psy)4fNJ_jzgfB~;_5{&Wa%HCZw0;kNgueK=MdCu;V+=jQLd-^cOC08ktH5pp;Uen`N0t&^}Tzvl(Xn6X+cVa#+8}ii3}5l%KPo+58)(Bj@nUt zyJ2#`6CYkv>&2L5Mb60f*9@Q~YD-VXs1n13(9f-lHCRAx4#oWG{WQ23ifkob6Wj?W zr)a5`eZWRuN1>yFdEWjtVITi>etK^~Cdg(93t7MpDz{=fB3^}mXy5&r>877%ve13z z>QNfZmAfvgs!8sru3M+V|H{gN3^nQNR_V2cW1I|JYN+4=CJs?AjjT7!UlPV*_frfp zbc(sfxHl2PvsM{uSekdCqFy1%Iqh5m(_CA6`>gChj#Q#R3X}GzGI%WC+Yi*XE4g@! zBHJ`BS1c2Fd%*>p6n*;lwj#K4muIjsD*RT#eWm}D{?Am)kaxw1_v7BX&6T&uSZrQD zu5MVA;?Mu>s{fa#AwJY{0@2a}XujtG_$AyVU;E2tGB$Q*r^JtWJS1Q|Cxm!qBuZ!4 zlc+gyrp%9$X8|w_Li?u%J<8e<-pE@0nAWrR#&S$f(S{iL+DPjcD-Set27@c@d9&=}ig?>T2mq!ZKQ0ewHEz~4nGq==CY}U8QYApsE z{1_Nf5=W%Mvfj{?DO1MtZi(`_SVaD+w$k>~hG%DREZ0oEQ7ea1&o>5UqKPlG9s9KY zpoUHa`en|%49uch;z4ll<}VN0u~0-aLC2DuY`WwJ<+7gH1nQV8{QlqiMS_192cqnI z8doY;R9Y_-`>6S4pE2}1qNMxXAJ{0A$rg9SIoa0SHiL#$Cgk%;n|+=9yOm(y znybsaMltPCOQnpN(x|pXnB3Y4;0T{s`+B)t`KJPCcxtLDHq4$=LqGs5MW=lSNMyh! zEI376mX%e!3GcyUf;1Z?*|LHp&}Mx*O;|R__Xbw+aq}7k)6o4JPGCO~Q*@59m zkxV9k*$P~(qlfOafw!CrO^I~RZaTGgnG!MoF0^^LI*=UAo(;yezOoR0YtZfwszGo! zW6*L}T}pes%({1H9N#SVNUQXe`Q-t4XGa|cQti%fWNW95%ir|#fx*r*0b}HgE9vvctnns67zHVJ=mgc4&Ky@KR7<+1Qrg? zjL{>TTkd_3{lNi>Yw0z~H1YO{?@x+1dYJc)l|_RB;Mb-* zkx;084c)JO_kg2d5WdV8nNRd@9<^M^9OL4}Vg)oDU--9cs?$@rrPB4p!AKo2-^CG! zfHU_#zEcu^T?BzFV2Zt)Fqrg~%Gi{x?r;qA?(s|a=le?*0H`u*&AIr!BAvyg_#A-< zjRv9X#z3=tgpW2gX+jjjrD)tsO!rfHcqAbmpZ&=NfyIq>K?zV`lUvfc+b47y-0`GJ zw#MWKk32a&;5pY#qhHT+Xo*yDv%EukzYogPL-s`vHFa`CQx$e7RG+&pqm%Vf;yxeMXqor5Avf3dy0JLLdgC z(_Una6JozZ=Dx!u{FdXJ>O%qO*>-DHP0AR)BCYI%(b@sLoZ%bZ`3H<7D)8o-+?i}uZUPqJ0NqP(^3*k9UF8?1K z7k>NLInN>?$WW!tY3SV09|~Ji96w!VYqyl&V2K_?E%$F8DMp8?doTI1cZqnKGAw1S z=RMhc7&`zgmY3sX$WapIqHg^Sp5d;j?})*AXz-@`JEni%m3paPA5+s!eWwC6KNZ_- zY#H4;t|-((1Cw;wRAl66yJ6;xOrUS z&~QN9u`1uG?Rr(Yif+jR-LbMTNLR4?99+#_*@ys1&5H z##8GS52=WAqL4nQdj8Ehn4E1gLX8I|crzDW_gZ=X#gGqhU{IOUexcZ;fuO1AinzpF9iGZQ4a>UX=tBmDgkGC=@M=Aca!a$3ZbzT~>J>o06kW<5|upAmVlcA|* z6^u#kJelm5p$-W$bjCoz8Fk5_00QE{lUGJnp)Z0^RG)@&ODu{klIPsMJAH9V>#7FK z2{W7G;83niv#2|JREPd(_muMT~kE5_@XRrG3BMxz4q zQ7RwSRZ`j+y zRE{-0SE8PFvS4LQRn&Kt(;^K!Z7+!SUNxFF5&ga9%0yDe-Q5g*{=_g>IGG1Go9h@U z-lHQ>ir+KqgMsu)J%6t0n~=HE2ZlflUnO=W{z0B5TwasRjQrVBq+jLs3J=fMYI8BW z`}}RLYXa1*8qJ#@LR?Kh_iwo%$TBKS=$uwr_YQaG%3#L6=^NC$chvXR3qCAkv`vi0 zs1)Wm;MYo&F!tz*B?_%+YU~(dlJIa5yJGX$9ZN{X`c$X6q;?eeP0?;#GPP@Y3(VE$^pOs~Y6M-7KK&ztik zq@R|R(r1&fK|l)BOdvARvzL1&Hn@bXRA+D%?;7upjPgMC)3sJ1QcupB77piC^aXw) z+xe(!0Htr14o4Yi;?jXZM%+l4BTpzuaWdLG)jgE5YlB)5sEW!_a^PmVWSb(Fso1U} z;M`Vt`kqF3wYP5V=-wM>!#M-os1o!aD(GQ2&OUxd&shfQ3r1wwKh6c@h_JdojBbsv zQK#zaAAc==h>L$AZauff;HNhUqb&++m!IoQg}Gep&~2|=bt*1PgDfuIVaY$VR)16I z5*~7x=~%lLZe}9e)Nx<8-6rt+qTvJnthGYCWtGqj-0>fzC&F=+d#U0$%(mwP(Y9P^ z;-!?v3q*&uwz@D1wP(k_?tP_1X7c}`?L7mU+WK{0T$W`+7h+^da|zNylM)axph7@u z2uX;9rXUCjO{(;z0#XD72_-ZMkV=sfs(_+Wf^*w(Mj}lgAr@fSE^gEG z^Aog<{kpDlPM4jnYj+ z+XPbW1=X#zQQ_rRCw$I4Bf7!cQy`&{==xBH2Wt=K) z$ZMDCtmDi55iq3w$OBw<4%3A2^qR-?Dn<#g578`bUK0$n{e61d*h@wN+9w3@y&E!^ z+E7uyJQ%Lr#q)eT;IOgVRKj-KeN(Apgl9ltb;n@>2w%_d-;ZIapL7Bx?S^hBPa-GZ7>;%c+oo9VLC$GjTlYQV6nDWC)CBcbzegUcWED?WGD|2IU}yuF|m^# z9kYf+fX)zS-9Mk{ORb09!=dL@K4Qm!O7J=$NZ^Y3O|LcL*cT4g52A&9yfcNPY+GIy zv}KL$744wwdd9=QbtQ2#;Gb`O^dD=mRiP~ZaP7$IWE9CKNa=`T`zTo!jsvfLyv|Dt3!AkU{bLm?e&MRiAccaM%n$JbF)WxubhXfh=)iU3p z22w`Uz+mZjnSPdo3!3ChGOD4G#dazp7a!N}ck0=H87c`rQnk5?O@m5kC29IV;c_mN z?|S~)HElxLxm+$M`I?)5yfK!X!6JO`O;+41X+G5N7BRV?Atd}A%tdKndy(e56}Cb0 z=$QgCr5CjM2g;A`P*5DCeax(Y;pe+4Cgv2#UU~L+{gLWE{SloznyIxgz>nTRgu#oj zAzdUp-t4F2TfpCUUpuT+u3=ZuP3W=lxRkpJS)n*8W~;-QQZP<6R)`v^?qJFvi>_{0 zV2q~AjK=0t3;`NAxJ+Lb2~QL_!jb;h)zkm9{QG5*UZJdbA0|Ioe)Wy_2~tQC+vS>% zTaY$-i*!l?(k$p)+A7*Nz2H#L=wTB7=y|@J7j^r)XY9|=Jm}oA?@4T-@anj%N}Lw*`!vgg;2c6!&X=9I@@ z?YG3Zi@4!nml~JE9~Lc41Bb0s9JWogbX3($YsQ2uW69LopibK%m?de~X^?*4V>~7g zWqH5^PIaH+DrjB5(9x)XaP!>{>Cy;5qG!W|v$KOIh6Ni%C$u4}xwA2e-LKS(?W*>K zu%*nc>T&0aawDq0?)f-ntwLCc>cK4J!}+l@YAb4E!S(3HWwlEa9^S0vsvVx{sE9J&{ESeV^wlV-e(}UCxcmPo zYp}55n4}-1A_hRH*&H6~vwLa96Y+v0s;T&lwpvuHj}Mx%`i~sxPrBp)SC+Bli!wTY zh2?u8l!7cgV%GyUjhCy>h}R@DJ==v zQUo)acPt=c^Eakb+B^3telfLa?jXWr+yXIvXZq_p@UNtB+wbc8?oH#Dq?$N)fZvWCeE6#wkWF1>d6|6LqgFhZxU}m2vlMGhD?UjZqxxb(2Y9K| zE(JA1)_nf@SCv$rQ(2C{@lI z^iIRt{sJL9n)l_O>2CyZ`T)!mZicxt@Q?oabJ30vigV&GNF?QZn_b6>p-^X>YS z!pwBjEX16UN^3_s!j}5tNXAw^yly_?M$o4l-SMK}gS)@GT;J3rd@!1?BJN@%zd%se zaF6Nem`M$L@q3M)CnD)%SWG6R7@6FEYcUgQjA(6i(nIR2oQd?NaK@?n^U3 zvM3Z%HH*6Mr;T4Wuj>*xvI)JF;0Pt-1lUadS+c()jbk}Y6l++A4NpmD|A67)BY z`{u08Z1Rro7XmJbF>SB#mfs|lsxXF!sy{Fuh))E?W%BQ-SJ$bG_ndpp;TC1y z!(wiC8#x%UaPfBu?bPiAQgKRVuxmk(e=>2QU1$rNgjmIRhPoxuvh#||ehft8F4XT5 z4th?16{iPD`E&PEC|{X3C`n6cx9|CXHqO|wa{)Tm)tTwVo_4EWxM-fSYsR~q8^em51I!cc_C8QV@&%IvDmzLfV$<4oHShznVZZmM^V7g$Y} zJnPr(>__LiY=G54YuEVo%Q}U~X?Y8jNC?IxCKCFWyc!lKG1qV4UG-&Tf%?s@r)_c+ z`&zo`;QWIP+gv@Y$obMmRXME}wp_micjJq6FZ?c+V?$_Ehzt_Hg7cmN__SumW>&5F z@U2*SEVE;Bw(d5)u>b4c?PCL%R@(d8+LzRkuc@;Z$NCpl3e3ip)oMGs>#Jqg)I`#l zTJd2ev_u=%VV4#6czdW#QeF0%Km25w24flMi$0T#57(O-@F*1-MZ2GkRO* z^R2J-s;7$03e-^_{fSi3$_*ulO<-hm(gv~)yPGNFFN6cDMKF?6{2wM@#@#QH)@x=k@Autbm+G5R`A#Kf>}G4NYOy+HveW6#$Xqpqt8)oqJ1eQs1G+m{6Hk}WQx!#2f6O87FP zE?s)DfK0>RkoktG-Qa4T-iw)|z7rGvmX!RdUChT`s8@Ih>-FfEx!>=Dgv@4L>Ki&!_dQ5-gk^(QTZZ{~ zLuluQ_fLMwf5V3SdwYI2^|J*Z7vA*i{|q%gddbik*EXm`&OiZ6Pe$iF{D@o)8?{|2 zx5iQ_o8l4(M0IapU0ELx=*Wu!N^uj*@{kA!1fqwqm$*@-rsUlMqOF@85#)rF+ejmtgs*$!Hszhv&I9HL@atov1$!E@^4$x$Q8(qPJZp)vJ>+O<^&{c^`qO9GYfXgrp-4S)NOD$nz-604G#FfjEwC(_4y zkLr~1wjg0!b|{L3FAf`iC-b1Jb;FWtE zVUb6WrFjIYwc7i~i`5`{bwRrP<=`)nfVqP1CmFDk&CVC@ln{Mun?cqZm&900bX;#s z^4W(%2^_`bRF~o5Y3!fTgCMSSO#8ouw|ra@f#?=+I$L)Af~t~ZC6d~*CmQerJ5;Jz z2!dC~j}H1c=u&Byn0=Y*$;lTzI`f1Vsr8=N1yG?t>)2`F3{)iLWw%PUbK7yJxm zcasUopB8ORmD`HICN9>LSIfzF#YaXKlu9~lEiwXQv&rP_8xRO$dwce@ z%YQsM9-b?S!S`ChOHp)X+Bz@72L>-Enon7DU--RZ`!#9R|DS*OKPi2;Tg1o~Z4RU$ z%gvF{qtmfewfyWeOlmX-GUfH|>p5=VD=!ttR5u#M0G+o}36?DbT)dHJv}7#dX85~Q;|KeFXtlRQyASbLpnkCojbv$PH zOiJ|)?ge4cfBy9*N?JzT;TlZulOuZanm*~_&XIq_)%?prNomfyau)jYaoMCAA?v*; zFwV4&BwBIXP)+N}EBfT;vyL1yToB0)U#Zl1TGU^Uv)I z+f|+zg6ohHs*}zX4*zkw<~IX@{1(=ycztWEb)sr%hRW9C@s;O2G@*MbLwfopPimpR zc$6%8j8PBNc6-}}tElZ_L9<^%8HMO3)$d&ScP{U#>M_@_*{1*(WxC(th9{hUa}+`v zm2Vv*rBh>36K8!yG)3@uAk@#eX|pc;j*Rv|ox zj>=>vWI+sso@{$XIouG7@Y+!Vgd>2gHMTb_=sL`gk@s)(EA zCW!fmo%t^PY1>40Nu6Yas1+>ZqHY%cTvJ85z1Gm#jkl*Bxx)h_NC9Jh@SKz00y+tg zhjdR=9sUUW8uq;S$EQynv+A!oHI ztcw$TopxQ&TE6kUQ~r}SeICe!j8!@UXu;OJ7miT}ieva1aGiFCo2?!s=}YT@E6mCv z;Asr|at2t!#1wz|UhTheTyl>?AYksx+5Fh>6$?e z0^4#E6edlkaLl#u_pR$vwwgb_sr=T)QXN#ThffkA5C3>zf%+&k5gof)pocW$KQ%du z__0#tCzN?>MaHdw?3{6+0}E&v)R9lPH>l@}Shk_o+D0I|Wg_gw+2}Yxc_0_tmBTI|W!2 zq@UAqU;i+7Kt*ln)~D(G(ib{k;~{G^)L7>gypgREJ&x%6?u(NNNBcHs8vOqbG2;+#Rr9HH>J z=e3I4s?;q+_v0mYB!IuLmmo%jXUz(9ME<5;hF_^FHgy==OV4yn7=j==BK$5Wb-*7R z^4acn!QdoHd*muLD<7_XFffkw3!7TN^*^?p)X^KK-qg1qO_nf;F=JZlk!ynJSGwyZ zPJgHrbV!5r4trLbPfQAz(sHPUv>}i&H$C{Z2IJAm^zMfy^OpreE8{^58Fh=bE-SBG z*%%_sJgAy&;fjx63NRv2gZt~KQsDP^8&K7oYOIlnPc2?~$-SSWRCKATUt7Z>$(hTE z^JQpJ;DwZrURe)NxIMKT7xrHXE;fm=`uX^oWilnj!V^Z{U|UxT$bW1!4cZK}xF_%X zWfOA}rxbZ_V;<}s*O67rAS!(@#=?sA>3o7Q5qcX(IsZQ9eZa~~JRGzP81oZp1Tvgv z8w_83TAOfuom%?&>|){o0*Bi=6E9I-=7J@WlBI!34aR52nfgsvjw9^fToGl{ioZq8 zTIH9dVN;1?nY>*BHrNMG?NwofDVdp|b@Gs;z_%>N^}<%~fCr=cQ~9JSi@t$Ht$N|y z0zt~u=7S4reohzEh%g2%htDgAk(m?O<6kUG$Rs8I$)3(mK<_$xS_~R-J|%|vWNkIi zpNiPHnJQA;uNHsRzHl(rXi3D@1gA~oYEtrDMLCYd2h)WTl)AXy9HhC@m`_pZ5ZE=W zKd40Z4zjQRqphI?Wi46iw&W9^Y*zd7Msx;aKVHzNer^f7KNs9kYY$>Xu31dmNjtH|AclDzp+Mk8`SJNBCuj+t8Jv zB|}A}Xnc~SF>drmJ_QnHwO82;l)Pc%cyss2T+K>XLd~ePJEh;hvcN%1sqEHpwy2h@ z-M9wrI|QOe1pV1USBWr@L`g0Dues<*B(sj%ULf!Kcp&Xh7is3AkUdwxd3HG-BStOZ zLq#mdzJXRJq7cJ$kM!z$sd;`PSjmJK97vv2tzqBC^xd5^P_Mto%@F+-U7yPONPAOm zD%wD)8W``(Yrj~@Yf0~YaJ>Ygw^cFlPRdDFcd$Oc68)^7%~k6KbtWM0kT;U`T7!fj?bAZm7@+~?Y!}YE z*arBZLEETSH6G8ZcTO2QEo)mBJ52$(BbWdS>xKJ4G@C^LpWR^&A55^3p;hMiBEO!GS1rs90uc)utiKJQj6sekKrq;l_@nPVC#DoIpU@>mGKmQ#L zCb;Ae>=+XY!qp`gCTpO8@s9V3J;T}u$uTpL>HoQWIJU%9Zv2v8y(q74F$|K-Pa5dC zOQxo*i#fZdiM*ZQ@0M-7uVyM!w2Uq(S%W$hyCbToiI!A749R$RC1)|kTa0ujQtg6x z<<=SJ7ZceiV$qin)$&nkOny8H942~io=V-I&O4zdW`XEQm~KI3amzm36ox#0HcT!F zK3!~`0zohckF!d_Nw>O=+A;-mgtVX6U@*?*gg>Qitd$@H^7u)pE3e|s$wH~(r?~PvEwxv_18#EREU`I# zej4z|MLnCR*rPY6zeMzVY*qcsC0059a zBYFNOp1ZN!HGGHS3dvXCH%EL;OCAOmhOA&}d#X$}I2ho5&FbSJ>okJ`KliFmz#s@s4YD3h1nvl z@Ea}NMW%r4EfwHPM_sYGzf=E+4w#_Xudte{K(YM>NN^b)s)v;zXZN)oh6jc?6SYsR z9bGlh5RA#%sF_ms9b$gC4S`u%$zCOr;`=(phj<;)8A#;zgHwkGOQ%=F^U^p%$f2=f zUHQtBlb@2?b6qKGdXSbU3{u-J@VqDuC#)`PnQU>Ep_R?aU+% zH&;h62u?5n`U-r-i9JP8b(h~*yb`hWGI^l?W5gF<_U1b5@qAA_a)+93`lwW4vM<0@ z{s{Kj64~m4F$!j}=_KD!0)60wn6+u>jp;g<4Jo}(ce{Y;FgZ)Kl7B2OeAcAu@lG7)(qGOk%IOwll;Y2|LQJowj5x zxtrJRHR*XA7pbj|Uc4}6jB-QC@#_x6BM{!3Vza2rddplXX}Uvj)u?DW)2EoJSye2% z8QP_DE}&vx@UBnFvx^4<%}yaqZQ7lG>w8>U75un_);t%2_m_iH-`yE3Iu~H~$GM&- z#p+nUZ`sUCv3o`fg0l|orYcSt4J{&?ngM-W=%Ge#goM#u<Sv}Lcg{jH>ijLQ(BGYMKKLOn)CjlnquIG4KH#ZBlP76&GZLh ziH&QyAHc?$=~uZh1PB{3t3}9cTwpI$n#I&ih`eCZa{$7?SQ<#TCO$fb@q;nle(}58 zVfTObNX4fCsYiEHNH9K22GWY*sTrd#} z6y$PYS7uMQ!zHO@G*%S2r)$J+#$*cok}HNACY&DNIX9{4c{*VUX)Or@$P{m$ZwU@- z4!h;i#Y~M_Qd>kJz=~!Cn}f||8iHkhzOy1P10d6qzAx=S^n`lk=qBJ&)*utPMJ7gq zEk7$4e7~D+sc0d|CmcP4+zx>Tt?^oqZa#PSTk0*dSpDtoDT~!}_2eb% zFs4n`mta}A&$Hvm9m3YvgTvRW4RAw@nm;nyw^+I&#`xdiea9{>BY`YR7D+ zJ_6mRFW{Z2k``#{3mWR>EKEBzp+;Jr;A?OFZh^{;mEH<+o!t)G($;yny$%AFA=?Xv5AXMbauj(WCLVX>2%f*3eUViqVLDdBj}v5sgGL z89?Y>2+!eoewU0Z3sN=-`ltvvoki{NBioeTJXG|ihNLVLhvTcCCU|l%GRQ8JERmeC zx=-6U@k5PJek;0SLcT1&-jAuIvJG#C75mavJ(BY+M#SQ4I&$s#VJc(5640Dm*96EL zZ#Fi0liu+Y!KrrToPjA7$h`|*M864Q)uJy`qw8ADylcMAp8m!2&bPA;eNF(VbMGDw zzAft*zK+zfqVMq~aU>Jpa(=ovBKN5ex595#zKG&FDwa^hYotK>u@jP<{K^gWhNdJk|aG^o`$>IJFO*P@VTG53<@~QhS5crqNH{!ACvY; zzb_mp|Kj1Q>vnZFMoIkQ@jZ)Pjf#Ni9j4#Y_{B5EtvqJr((HmOAClWTqE684nee!T zp_SE_A=w^%{(vx_+}`iu3}1D(N);pnul+vTu#dv#djQv1`CCiCTUFChv%~a9tH2F` zgX!LL^?fQW<+{`&WhJfJv_FyjO9O!dZk5WPk`!LO^%a{J%@YVO>tRuQOi@(VGgm6P zhEIJ(&j8_2o-SP~hqIWjIGDkIxkFam4*XMQNTIBs4}4i6ze0(fvFPV}x27fh$;WWS z9#{2l<&0v;FP;&!Q03n7QlI|2R^F?-g&0z=)?b&~{G7A10S}r+uj!WntgHm5f_HCB z!HRr4RhT%zgiikcdV5=b)Q`t8wzM9j*ti0@kbUxSZre`}=7MCcF#b+!iiwZ@XJ{2q z?7Kz){==bYqs0&X}pU=IG<9ID1j}3OFrT&KE`?X{;p%W|2tGGO{oBw4PDP zNgs`eww>H?0T0nK4x8#so(bsCh^b6b7`W{nFm#BG5elywT4GJ!Gg|pP;JaiP7%E)e zU;ok1c;sSW@Im2*H%OaT8z-eDy>{WXm2qFpH%weCfwUraHy-k{jC1tpiBIp##h2)F z)l~a2NG&J-V0Q}jL+c?Yq?dX5;3_Pv#KsY%E>NFHJiYUq$}b-Ml}0^80)AFdRQ?99m*Q!AGk2d7XJMgKI*GUxuy6^gwjC7yS*k|jSy>y8^2c&cQNn9&J zm%z!mZ8O-#7PqRpT;wQlC2gxvyQti#G63k;EIU4N!IHFEf5q2c?xFO>i%W*OP?HK{ z&5uHk-<<=vEZ$alS|_TG^1qqZ{@ZkPlt@g?p%l3z_)G@1C=_u%mTaU6F=U;#t@XF) zJ^4!2wIvdP7_-|A7sH}9bnA#GF_c0QCFb>Q*-IMYNn|coGaZ4j0|0I&ygwn%U6V=T ziN2S(4B|SeuxrG~BKA%#9A}@A#>0!fwJ;VIUCFdwQATK=j%~*Zw0dix=c&Qc?rFh^|Wn>-bSW=wo!b$ED7&EwR)q^h32F%HH4LB znOCD3l06O7pDiy9xKMauAV;NJI0SJ%2TPa0R?=U)dU&;pY`idsp{e2+C!h;@jqf%6 zW<|ef@OQ>E?KspExVTU)9-sgK$dTx`QAUebWb3SiGN5}yz|vFS?Vnb$Svn7*D)t;< zq}18a%J;i6EaMAx1QU=~3tdNWqo(U|&dHKdx6ZlmuH-n>0CJSWM1J1>ePpi;X^Vq6 zFz?1KbJc;~#!SjCms~up)*3!o%B-t89d21Yxf%z$_yn;OrB>joOdvdXi14Wo+_oV2 z_FBMuU>^)2XT2=me@c+f$AF43lK+rw7ZZ8^08~XF_My#|LQBlZ()WEEPS&96EAGXu zp@32YyItk&NRryN0X8#B)*j^ihBS~?7wf+XPHGS?<7{sUCYpg)rz58q;|}nXWO;yE zeWxsN0SFV4Q$*@{ixEg3!33El;xi!Hg|ayP&|L3R!j0i{EShVAfnL))I$!f}NO04c zxwVfOOKn6{T8)@@Wk?rqNIKKJrM{tjs|y9B;}}lYX)jr!M;t4cLeE1`U_VQIVP0v* z*6!TmGrVAse`)y~K|_<(KR~5YX_K!PD+q+xRH9y}sVYCX$k%02+yJOF%=Xkaj$i~% z@$B}sR;!~;U%h25U!)vJMa^}Zr#wC^E|+au739j>3K;qB_rkkRl-6x^q-g4|6bD=u zf(8$$SoD~W5-_zc6f0$uIp7uws2^LqT>HacXtP6bP>BnfEyg_H<3AZ4sBo$7%*)E; zq2B(1b^{>PY|+s`&O!_*o|)4TX?N?jYmnCJ%o0}r?K_z1qrOz9q`^2p;~)&Mz$Yjq z(0YV2H>rJN%6sV_oly@g=XbNJ`1tXt$OT;Wh^2ryT*1g+3K1K_@>#B)nblgZ2N|H= zR`cdR`lUb0~#=zR#gM|g_n#R`x82r|?)cC8%yzYELZczb2 z*Zt#mwYAm-Wh1f=+|q=M3yfOIh8?<=3EnZS!kb#< zB#ADjXJ4jM6_S~nrc@OLuPaAa8-CL+W=x2d9+0#mOoDs)c)v|SjJ@-6VjFXSc*Ehc zj2B~W((bH!jq2@1dHGhWI}m6k$B%i#c2_Kk5WZAS@bhN|O6w3i@GHB^nr z)mv5IFXh>uwqGhJrF3Zc@-_5xwtu+2Z0%S;R%0Qo!rES}?TMYQ%vAFD(4FEfh3f(L z?APqTTmic&>$f((B4GaotId~8k6%301$)Ee7xc1ugK?8Z27$o}h?bkLbHnCNR96R; zWTF=Zg;;W)Pn{tTd%)KhoG_e*xD9W`{?l$zXG~nN{&@1cb_{l!8y>{`p-0U=i|fSN z6q|U(_2bqUrD^|;&N*^!Vpx({pG!~$>s79g%p&U$209jMbjN_}1QK3Jw5B^(8}cb@ z?Oa3&6NXmU!<3~6a-|;z%uRlBzyN-#)O7o>nOCFh2!hw%a@f^B7iG2Sk(7_df}5Wd zqvC93`NV?ls7YpnvwWgM546E{R^BDmZ|K?Dubii_+4d-V?>J81@Zu#kx$Nw_vgIpfS1pG-K}<4;nir713by5~G1srZkI{+?W^6 z@YJt275U*TOQ?I#z{_^}*(5h}wrqy93d2X%SQpQsF(VXpT`RPoGbPVj4OiLDbujp> z3Z!152O99sQjzHLbBmB3j{4|q}eq^eg{85Ms0)K;A(Bai-awTh0 z^=Bb$q@C8I$fKx?q*Z5*c+fjrbwYNU7*H0dps>-3*N@?NhZxJyR)|T&UP0}s?%%2m zhbJ$TFX&{-i3*{zdg*;qne$AXRDO&JGSKaOPu@$`7-% zy>nHlK9>9db8B}5W&js*isFXxZ)qsU=IZwSw+0`*SB5F=7aX&=_q9-uR9=-`j`Ta! zD8w{a^(RVle&n!Ebp7L+^Q{{H1Sx!m2Uc0oMc|JKk+hbF*~QS#TiZvGMdP*t5Lp71 z=`US?p~~9Yio#%Cl$ZNoAkIHlX2r)xK(-C+*yzU7b%=fX#nUHMZ&ceG4>SnfTKeo= z>QqpHWW>$0#J9a4lUYL6+=p4l9!)D#E>8G>$l7lpO7cv`47Skuq4qi11q13*0o`2r zHw~Sn0#|tsH7crhwABO?Wpgt?&I^ua-fP=~^FnNZ-f=rPRL#9uk-&lRa^HhBuo^)iIM8{ z_Ul;Kend+YFtsX@&1McH;J?Nt*59K4Ci{!Wz*ObhDS+)Z5~0Lr-7oP$DbfV!n9usq z4_)XaxZ-K1R^hQ8Fi9sz^h|eq`fpzi0%AXU_gC+M8NEH)#<(*ULiCHK$j!Z*+`T#L zi{9F*p8c@iP1!O5K|>{{@fAni-!>KoDP2hWF!UVBEl@~*+yx9REcFAacLqKlu-yG? zJ;M-nVPAovXm3$FF>9tY`AVJlDmSvf!nDV3d1EI9nY3A<6GIz8&un-DfxzINFIT|Y z8fsS_6Ot-4>OINxmJOvy%P|a&`zFp&rM6){JA9~x8wC%0mG9;ZwQ#sohfO}$(XEI- z()Qop)Bo9t-ytA?yd3>PKm7Jty5e`KkjMF!s40F5BYWs%3~obD>;1p}-qDvuh7KVf zKNTRDpDF!nFZ7x`IX-TRB}Rh~xN4bUZy&i;OCACZZ~8`L^ZXc>rg$J!dTnIBQ3|r- zgx@j-d_FG6-FbcI1bVq7;bxNR49C?nlSZ|4H$M$Fj1$=xm zps+~JQAMJSE*=irffr&2x>Rt>HPTgBCwY9ofm^lStKL4nVKIlW4s`l0@ZbO1y#MR+ zF>C*Ts|x3+y`#LZY)k$AFcxt_8|BcjF);M5M7Rt$i-BvSmyIgiTts#Y?7%3S}hf;pZ%P2Si`_Oci~Jer})@g zV4Eg@-MIf(UUBGZrP>+E+^wX-fku>ClQKqZNk`HX6UDT8t-|WZ zo4h684Q|(HYFpn#Zp!Bul;%_15$AC%{0!7J`rQ<2yz!f{??~}jazgB+ad27rm^*EA z@6Y>5j&A(k4X{I+ya_Fh1eT^X|5dZ1y>81EOIQptTxozc8lmhl!b2MG@{_u-I2yD2 zRO_oNmd}nDz5xw9{xq_XadX+sIjp=^OanZuHx;_`wcT3Pvi=Qj1J--*K?iCi)0~Cj zL$<$g5?(&yehkkdsf#`@bSdcN92gI7ne6Qq8YqTnY!LasMn^lPKKGr;h7GaAF9Mrl zwcJ(_(BzJv@AIDdAAoBC=aM9b{Oc?=g!(E6)o7WK^CI3rpYPrM-CH78igV_Jwq}Pk zV&AFZ`wcJgi*7omO&mYV-?Ct9lIUIU?a5{?#~2&7{^F6FIjAj7l`MB}9>l%`(t!6J zN}E!f;}Xdi|1kYJ8sskd}@p~MZ8a{&=Qwui*u}kp8 z+@XiTuTO8A%%;h%O)X?>iyfK4Za>Ro$6bmfI4dWhkY;PC;FP^AAqQ4ajSWta@!__3 z-D}mV$TZUE7E*I^b(?c@gKf#hMb1D&18T&X|Lqv5QUa6#xE0?E8zWbsqAc z>QRqL=0N2Z`w4Tn1u5=#cV(kTFX$l$jjkR;LG?BM}35vyYU*H?Pgn zt_tVhBsme$QGQQ6Y#z>q%{# zg)2HSVzB*Q?$oQT4+ky~lUed%6rw9TQxMV2+$*)@M?52``3N{O7lXo<+LYdGk=9#CFlhqH2-cOcal zPJV#iJK9qHCz_nB%*93JVz`!4VUe;yc-sV#F#!G1kozqla=u2`T3j^4adc*zFf-$| zohBcAve6b{uub(qa7gvoMw z7bgzeg%`HP;bMwY2CYWRAwLBEGXJ1%dcOG2)&lEXU$(cSvbBtT0_@3F*p-Yf+bgBQ z%dNGWZYKT*r|!Pv+PY6mOO`LXw>>OIySEa9`83=iv4C!7iEclr!pFfuUahbna2_%% zS!pb&$gGgQ_=lP8iIp-Xk&n8@t%1_)%%9h8cBTd1`>CCK>&y7I8}9MS&n_~ZIITt^kg&E>jT72|6`M60-!S;y@n+#MPblM-%&km=`oVWni6l_G7E`$>xm6p! z9Q*jNjuUsy5>dFC$;(C=yHNC?6@buRJojbpr?)naJ(!1-QSj?;{V6QM0q2HHJ?C=_8dRIc=y{|B1{8pm2ih#Kyr6rZLlyEWO+MKG z%gaH{2Zw(>x;;-SDWEuLmm09tG3l! zdK8IlZ#C-FZL=B7BT6YP2B1wQ{ztqy_s)*3h0j1nmvEv;k;i!a)9W?%gIz5O+BcB) zd3U1Jbos^O$=sOjK|0q;mHjHyQ(WY6y~`PK(ZNEeJz$I=1?TI#974_IMkN3JKWOHW zog&OOMzQn!#lhf*t0+^C{G<++{ZS-p1N{Chz%02R1T5luQeBaY3_}Qid9GzTySSo< zDQDSHAa?@^v5P%9{LX)t95p&eA7<+zxin@inZ09!6^?4(idTX;U--ZcsX2jpzjz)j z_*FPoB0PfgNOhm))$;&p=#)jMTyU7ZaLU(XRiM8F&8}F<;-q{bt*~$9$FlqjZ|vTcG2C15o6nL+J3O$G1W0!%?8LBBlFz^G?>@9(hTq9WOBo^1b}gDy6O*7=k>Lni*Jt6)g#M{r-od>0Y=er1DlmQ@g76-x})XB&eo2-AF)EwlS#Idz@tjwJy|_tX*d zwUEl9@b9{(;PlZ8g^Wet$T&(cO*-E>tnF`ib4xfv;V*I&a$9L(?Q6j67j983pkXgD zFQ$9emRGO0R-^m$5P{Ugj&oL>mBqOS@EW_D<)ju$eDlu_v+_O+Ibw1wPt8d@sr0#5 z^^w?#wrXF*&ygQmgwZ9|&7x4XISgl^+sO2q1&Jv>jjM32Upy@UYH{rfI6LOB>*DDi322q4u%G-r`ngy5Ypcn)xMU^z~>_y9q_-q1d?TxucJar*c@{_~6HmQ+rz@H*i_m}x1{Zy#Tdmik(! zskoDEqH`k^d#UsL(Z}^J;7!A8PUdb#4Oq4&-caCdJX)yH=&nl(va-V@$SPtpmU#s8X0lFc#5c4ozeF~Z?9Jd zRhSJD}UyqfbiQEy?iKGX60(nK-w zO`)d(nG$~1K`K|fkKhwkaxOl<589`BM;eRkvs;>=Z(EJe_!LlIr)^|C5Qu24|K?gL zZ`(Gpiycy43>8ZMYpWrq#E4wt?bviD6eqN1@o(0hf35RGyhA+4x}N%AD@2fe8aSiZ z64d)JhS8*deZmB7_05Cqx0vv$JKxBlTBP^N0CUf2(ntDVum68n{{7i5hWM^mIkgPn z=%fca71_KA5r618HvsG!L zK9&$p=Ieaz3$Bvaa*uCTPw(RX_~-T9k`w=x|9axTeEZ)%BnLt22UhBoSEH%>{ssAI zg|!eL6a_%0FdiiaT3-vpof22`oYOd3 zFK!^(|0T(WTM1B%2vFt`xd7`oN>9xZ_{CH334YnCP<7MCX0(HsnkUS}>{LFkmu~1! zQMtt{R^eKC-1(-hxU+}Ox@)Gu{tYG9vdkx~8a?+!eyeYKY6}w$uNS-c_E_hbQ~`d# zU3@LYB`#%MVe?dXT0p5|hgydPZI9yD_^wdTMBMQmwR&m?UwH3`l>Z>+h7+XPBY~2j zR?X`U)mz%^;tOj%-ZPeGwi&9xt_s_6f+SpoQu9-a|Gu{9JYnSQAdf6u63D^0T)DFU z`(?^@ox1w_;vTr9#!^CIE;s#5!lZ5uAbe#O?P+9FV5ZZyH1k5okbgJZxJ3O<28?cv z7){U-0c~7_meY-TezU00r^wt#jc+xOz2?j=3!@vX$tK^UW4eL#aX#>! zSqMA@0zKj1B*TFtCfu#HU-x=94h|WI*4`cSE0L76VaF)P+i$`Z=3f$|GUjWR8z)fo z3%0ViFA%*dS?0h;-#*`wCsl$)3n#7VO(sm+g=N79(Jhv`UcL#WBE+oKH@{hLCZ;;# zqky*6`8QjwMiu%l!M3l9%b~|Yk;=qyYn3#rfm0wCY1Wj=`{vUk&DM$dw8usfnHGa& z&*V^&l-hFZqell?TQARa{I|FD|ECj2yGh7O-_%hT8_G*iuO>_ipN%}3z7TL`uA>6cgI`hszW6uQ6y1FS=l zSkEKr=9#ioSc5vHCnq}IEiyKR*`H=(TwKJA^@jC9zcr)frQPO)o0-EE5G zk6=7Ni5f>9W@U6hP^@^np`#89&s` z|4+N(v5LM>yUeTPpK7$UKg6aUM|_`V;FMvDrpyBajA)wgK4N$NGE`KXr(oE+-=SKa zM*Dx*`^u=ex+PHv2?R?ZXt0nFJh)3BcxVEIZnV+h))3qwBtUS2hsJ_5jWq;mym2Qu z4Z(xEyXN)x&6Sz=)~t1B)_rT{$34APpMB2RyQ+3=sk7_Ut|A}KbBerT^NFE|DLUqA zY9BN%>OyA*02qe;bDa2@l7Bp801!fmQE2XOIq*C;%_7MyBI`j)1$hOZve~|%6|Y|> zAO3R?|BNTN;u}8IQ(K4xH#9y4W)1K$F&u47x{h|v(-2*ts*Pp2`qHK8pg1K%3o1aK z2obEbzC*y>LDCGlOTX?h{*?k8iXz)|IT(+Yy$f`mEiaL7ZXFTTmvDv6G09Q8QOVFS zwjI+6EkAOqk)1hV8ZHRQ_A0a}3{e^$Raa8aNiE!31K-FMNcY)sK3BIRX#AmiCPdaZ zpZQ!~-6{uyvUXQ`(`pu}Q|m^7o1Rs@?jt`KP1S*8 zrr#ke!EyG?BZ`8o&Sko;mR4#0$`Uc=?fyx1{oLEMZp>4Jro&r^5iY-iak}O*z+V`p zd6WGKUOXo5-6dyT+4|+}aQjbFQ!mW0zQAmyI?~d@(V7!N3)bL66F8ZCNyR+HPHR(>T0% zUZ#Z`+jY$XbEawf#N%ZC>)QAYY2 zN^iq{2$VT@1yGi@^mDOOsgQ5#-3 zA={y#*}4RKs4?-TBO1ygHmhbwTG)8a>XJG)E~K?4sw%+Y#bypCUmCLsd^w7~4s4~ple{`>T`JovMZl_o%38)C zVj)4#tt6`)>X{NUjs{ka5Po`2s|Zci{J8kSBTk@DL7dXdep3;6R(?@Pb=?AQik8u4 zUhsy9L8Xs*>}4CHg<)q>`mT4jkp3c5B7Np$o7nlYKwaD@$Ju^tW6NmA)@qyjB>3iSev2~ zjU?E&W*~0%<&gBw+tqQE*XK8ek3_Y~`3`;X$0m*o-)RYC_!Hno40o2HuUKJu-Yfbz z3j=)Z&Zw{pAp&K=V@SBJyON5qI}^kA-ea|lOpDRi2m@aY4VSgFgnwfx^{fon9L+s9 zjPvM1q!#I8y|?RT@@Ff>&ZT`%-txafv#(9uF9Ab|#a9<79*OtFx~!(?PV9Pal|nbu zGnc3MXSJ`FBBm6-o*hvwxKB!9db(Y^5xaNpP@>O%jtJ!>g|Di z)tth4RmjO7iNYz~v@t$kqvbD7alCM8x(e+@c3(_{({vr5a6U^ZJXVkJfuo83E29+a zj>3i0m>3c(0fKj0!-86$ zhJY_d;!xG9ud$=Cb4 zJuC=}Ep+WJv1OqP&j5rr1$aJARVWM@w8}$H7vy~W2z?&H2F~aeBBMUPc9{vkRMOk2 z+IgsMHmdCn_Q}g_0JV35K*-1>O%EN-(6Hd00gM8ff7fkHc5+d>@VK6E4^DCX3q zE_P>()|dDm1!Fu%yr{A>Bu<)Cb*LQaP?l302+azsu><|alJYlsQ+4EAYL?e6~+QX3sg=J#cAsMVj&WI|NDG$MVH&QzjZ%eUL_D6pvYza?WEXqmcRS9*!^0~ zz~fN({XaSz;IOAz2<+m4-pLpE_J)li6rWv_Wv;q^_(#kC*YdG8esMKwst_;X4ngTD zFR=ob=pQJpt!*bTDa}8o|7rzuLN|Bmh<{MH8_NBBT0l6)6AXyG8&u#MA3mL z$c4mX_1fJkeRjl`avH(JSUz!Z*PF9!*0uu8(?3h%;Wwz`-#(O8j!e)Pfo5Er8{?Lv%c37ZReuYJrIii@QAYWs|}_HU6^mY5#Bp6RlJ^#r#T z^2}l_6Om78&vMd@`L#-}ecf8K&A!6;6bLUE8mY}(23KniH}&Z2P6n`3{}N%e-Wl=E z&I~sUaB^mHy48_U;82n24jFUEEaJhkK>OU$gI7lA!P1W0Wbuooxj)W2mHp0a}(OgjDCCCR#>_npz0r5 zr<5qK1kwWinDd>Ow!MAj@akYhTU7&3f1Sf-79Aay_G4*&yH?%Q{S4C#cnXfiFoE&l zr{ExU3l=TK(iSZ-^$c>fts6^DRZ+m}^~>tKZD&*bfGHriUo+0QV`gB=Bg z&Lp_5u8XzSG>oEYa9bk>?d`4mElFahV0i4=3pGFu`nkf+=-~7Ew_~8$mu#-C8ofP} z3YPf=ww=q)LW5fl&Y5@bjA3CtfL8a@5o}rmZJa|Rb&lZyUP36%Jz@oLRgc4PrR%JN zyqlr?Axb|3<9d@+V7~mUEBWc$yCu-!27#Pu!-8W34Tld8thz^_;?Nr@9k!k~EPmlt zGRsk2cvzrrkrzekTbAJQr0dr`Ug~LL3O{1j&n|U6F6lZ_oD1fJ-zj>R3%M6Pt3>mRKLaWX4*l9Hl%LuCM@Rba z9C%C6i9EV7XP&scH(H9&GR@v{O+83lcVYCF-wOu=c!n0ycN|bM zgSEHvg-r`A^APsW7;PWv3Wd4{@R?m(N^M8^|BS@Qk#Z5^K$%5 zTu9?*M(tj2_VUkHPeRk#LQ^!)OVo!1dNBdob8Tluin%@XI9zY5Z}0(SIkYO5+~PqB zN6xhad-GLNd6W^3LXnXhsNKX(f31LS>u)gQM!LquY^+oTB$80PvFN=}!_G!4A2(4H zso!lMNx#NA2Zv5skLQ?kZEbI#d&;cW+0ivG!p6Bk*58ob1q(`CWfn=~8*h%#pPj=Su5NKz{8;M%9lF&V5v6n@$9G?+T%522 zu~hOa$2UPem$Lyj1(p&j=8gd;M)Qjn->Mu0ynPK-;ZOF|hYs^FQAG|#zT?tN-n$in zZ-`&n|B&x|n(J#@w705o^*G`D!=KH%fhdB1%Y_zJc@}JB*)}R$IAbNJL6;HI`%)^2~o!L^a;7!*honQgvX3h0BQQ z5moaV6g{+FayQ4jHo&HbCdZ(5ZA#%tnqANxmgUZlycxHSomA8wkr827aTY2%>8AZD z`f8GvkXB&&d1%HcbS^>bY>D&J-?!EOt^57+5_cqO%RQ&hkb)(4MxEZ*n`m|Ed%Q)5 z+DXq;>JON4mv{WX`2*P;fYZ5{@Dv(PRGb^Pvv2-%Blq8=fwagzZW_h0N8Wp^>rm&< z5y@djNIM*w`J{E_Xjf0U-yx>1uZf(d?p~fnHZA{n}nFw_km%%at?|&2R zKff6Er|~+=2pyZLRE3t2F1o^rE@rGSG)N6l zvj<{W4d1XxdB3WW{PW5A7sP*Y;9ng07YF{uf&cv+!2UgH;b89K>TK~2LV!s*n%WWw zF!D0~ah8;1Ohw=665BGl?XZxz1sd@O!Wt2=<@vHnyOyXVp zF}hoB$gPvux7*V@Z!B>E7yNy^7T|k+_W3q``+5gY`sSqVNx;dm`UU2*>gnv&?_2MS zDxb}O-}`N&H`4x>)1MvvzpDpa#k@*Wy(p;j{rwR8Ce+{BQM!O{{7T)QC!o6jeJ5NA z`P|PYOy%~pEosL^&YpGVx7__F(gEkIJ{PuvVnP?7OsU`JEiWT})TUulOLBdO0aCwL zHblld)tme-ug@OJK+_EE7y9seW~K5wnb+(K2A|wLmQi?;FpbxA5whCMEjdc7|cR)48?b zFLj6C61E11745KVezCP4!CBspJl}k=jP5?N%l&pi|<9fu~=ivAB_ZKqZ6n>gWQdVfoQB{l_6%-J~!+qLpo!TP|PiYb3wU-|c#QTVn z25mnoiv^VN6ot)N&uxSuZ0B~es={F(Gm9uxUX=UiF6UkDcAH$5kT3Z;f&4tow|C0Y zQ?SK({ENs$eP3PY-(^O$$8F;yxZWv3h>5b-fUgfv`v>z8fd{sOHZ;^0yWl65#X*5B z_c_GK07UQpsBIW?)bXhHfk!5Z<7-yEllE`BF4R`&~{GGe$1uNX> zMfuMesY~{HKNDW(aP(a&xPcVT($uY;2rt?lIM9+nnK#3S^NG}in_m}{^5|D=Ac}j) zpmveJe$jX6Oup=yf`+1(>>Zp>>tQ4G)Xdc>qgA->+XE9BxIx9iV{Ud9Ex%Y$d%sn)NnL~RFh09eEL-#U76`qTX|3@WL|y8a2w7L3b;$_PR zyrBd86ou})3VMIC2fpl_+!vs8CxB+WdEOy6}gF`7uRQdw9ekeC@8 zx56T%?TOyZ<*-5fb&Qv73q5sYcaeaq_U_|gQQrLwy@h_gp9q>gNJ`vjtV}mM*wOdM z+?Rm&w18b-%n;w%DAFkZvn5e>n*G-iTnu-;zMS#c0BDIKJqTU6ci6|tsgwt?O?b<> zG$>mQEVs7<-|Z*~+7r@LbtAFUl7LlTHe>k$8=Zh`0H0;P&?*1wINvpFC^s6DV+9(X=Dv{6VM*@ZnQzM7Y)OcT zWLL{k0tHh5L~y86zeNQZqLsin6Ixi@9Nm{KN2K_1vhUHGyc)(%xkq9;HTI9?`UT>z zLQUE=3uBeF+3oQ7j`db~BaQ$ZgL9My(He$d}$-0xgq@16y1bRLi&Z98^A0?>$a$+=Uy1 zpIGoEz`nSYJjER*)1YiiqVWJc;xn2>VPE0BGaQxSo7P-?v_>No&oPnn_Njz7XmZe>QH`D=eLp!OyQ;&;ll!^0p za&0ZZXut3&#l1GQ_aRw%&jJYELc__MwCs`8I^D|z?It0wN{b)qXJ{aBaJov}`znar zvOh$=?4Y%nAZvZ0Q+0>$e$U*%+q=@R2)s@lxiV`tNk$iE2x{9Gd<>#@dc}M5C8CVG z?y-uy2??BTFfnO0*u1X89$uV<S!1MZC|KuvD zF60J9!=ipzOj3bNJk5CL zc0+oS;(PKd#^_DoquK`7c1H1&q5wZSp=|LhB?kI(6jt^~(+)Aj^$=i$IQ)a$9*_nEc5AVt<9zH-V zuW1?+M~X2U?O1+7Nw8I@?tzMyt0xu;G|BA0PU$=#%2O{AkvSa`pge2#90h*elGEqo zpP2cvD8PFk+mf?a>GsF^exMUyiitqyyhiZa7gd$PH%PZkJz8MosMMZ zqNYlRx5hQ+yNkt}O`fOvgap#9*asK~+P#G2JlX9E zcetOHzr%0ac>pzL0N`GzzxqORb_Ue#ICU9oiBpcdpG^a|^UCs%6W0UMKI@(y*8xA7 zhATNNlj9I6hTITYHx%@zgwQ=@y%@Lz-155Sd@oB5NqW9&xE#&?443I-B$C<=Qg{{< zi8?v~V*=U79^CM3a?+E3zDN=|DoLTC_o#Y|={}F{SwN2 z;r*w$Oa*KN&5nn*`R;Votkq;Me`LZ+B)|P6ETI5#$6b-VQqS%m+ch z{M~!24$@E5!0c-a{QEO{PVJtdpS%!ICYAht^W8gt$o-ZvV6ClR=s}eXY$Z#qm-p0M zkHo~e&RE&Ij_rFj{^NWc<_Uf;cYWRo17 zvrK3g#v7GdiZd0Fi2N~Dox*F*oSmMvh9(~Y_kgTxc8~4Di=ArIZ4un#BGKdsk*14y zzsp_Y8At}<;)zc0&boz-ib$oD89nuaV?A~~|Lf$Wdd3K)`H#Gx$oT~0EiB}%l93Kh@`hMrUh4o*)J%yo8-c z7)brY$ShDDsVsG^`iA0tujvu8Ei)@YdQ)Ehv|-m!C;pj|&&^ad)oQ2q9l&XicE-Ca z5Pt6Q0u5C4l^ z?MZl-yq7d3X=BQLG0#Z&lc`gV15~_k5Y-=8q!3IU`7K<8`#rbl2T}P)9*--#KNm>( z-e_E9_aRU-fm|3u84P)AHMvFZ^1YXod&%o~qRWw@@QFGy?PI=LIe_UE$%(8?ShUW- zl(i9Pe3|B%hcz7^wbRNrUxAO~w{Re!F4E3rY?;Q>IRK-hliaD)E8WG=DjkobXahI8+L!CzC zHv4^>rhZy*%My?TeJw|Je(vpfLh|Y7F=h)={_@QZhBI<3o(Tb#k*k3RO->a%Qz(mwQNb#Id544>KhkXyA*N1!4=wg2l_6vVzz=1(5MLT2Nwf3<@@2O=W zJzgi1;pEYT2LiEOGTDk&#=5UKS01QR=9HYq*x94?jbN?)4pnL?ixRiQhnD+=y=oKj z>~Wz7dCGl#a-Zzeea$=qKgitY?G}+`DTT>I8jg>2ylKpvaW435AKEX!F;pP(lAk)P zua`=N2}ke4fiMy>+*`mNRPrVA^|&V=wN*lIGov?~xRq?alVvUukz^|}_~EZz#ab2M z7_N~q2L%YQO2Ed5J1R4oLbEld$w2)XG*eG#(3D40rE(Qd%py2#Io93Gc)6&}#Nsm& ze~)?(*x?R&vo5qn;}OVd%KMSHrxL*6EFW3%<(vQgJnL|xy@IEnm?Z`Pod9kdBa!5P zZ@tlf##o@dXFe`QL>+06>dPcvGEQxp-;m*dpz95Su060|!hITJU$K!WVA*-qT$7Xm z%V&c<2(W%m5~%_tVO5hEk**UXuIS%P+zHf{tK7X&SH4@k0ztwIZ37 z7#tLc>@Tu86NU!YIKT5i$j#*3$CeGVnIFvAE}M2X$|*cQxD{Rd=p;-&LL0$YC{r$b ziFi_=t0uvC-<`~qy%CtHa0JXo07_@tcZ5iSNFBE~RvMjBd74FIpB^Ka78Y%Zyc1eM zjSYI7<>__?CwJNzy$e{QMbyV#lp-?~Vvj$vjkAudVJdmokGG1#xd$h*NXHdYgz)Sz zMM-K43kcUWLng64k!IOj%ERJq?tC}^+b)fDT6tOSP@sR>Kg6uSbSioRU$n$f*AvbF zCxdABA8)VVRy&Q^`g)w59zS9gvXl@&;Nm`=Hd@N9wXIbpH`$JPi2L3cKU)57;qvMf zblHcWexwN+o*})>#&zdljDlFp>M30(}OYNtU#E|<$2r6hb#|vJ2EJbA+0}G7x*!}OXJN6HA#;0 zlud1F6Goii96sv|OBb$~dq}kSI#Sr|j>SY{A4)KSF31j8X;2{4&Uh&pVUfC`WqG{c zJY>+^!2faQ?A9q+6C?CuNUk~p1R6guEkaN$2NCK`!JV_kAE+bGFIgIm2YE-MnQ#b6 zAV21Lfwh%o_eM>BmE6I+6U`yQi-8$5rAnRX@HncUFsp7z{VOtU>cUWz&BeirlUjl; zTkOlnL5zishK$+TX5{_N8y<$*&(zqwjqOqhheS zP%H_X=E3R|iecT-1T%;y8a%|jcGU+D0l#i`Gqu$bH8@Y$!k*%|ZvnJ_g1nsUed6s` zdzUdIrhvJzuiXX&&5XdlC#2Z=U{IWI zTl@hj=AB9(%5HR2!<`78oBspK`V=d_ZI>52J~U4-?KA z!R?&133ka$&R&@mUNU2@pv3<4<=fZj@q-vJb-N#%upX}=amE*lkDyR5FBI9>NdA}b82$luNp(uB9rm(8BA*%o!&D_CB5V2OUO$t z6Bej%u(C^|Kt#uaL&E^29;z-Bk!pXvJHW$la+f-&-KBE&LZK6Zjnj357Ten_d27&G zC)|`7u)U*XDrq*hVAOa+U;O)C)%pST|D%Kz{C7%NIY$Rq3kO#hMq$iNAR~{eg}Kc; zSw~Mseatm4qc9&oqlg$kqah>D+jq{GjEn+*$q2M?addMwvv6VjLzk=I>8b>B#prSW zyi($2#Herogr~&EC?NJ{Qc4P=>cwFDGlwECqu^fvDe^H2{-GAeAXnsP6#N4#5Tp9V zAarg25)5oOc6&ZOnEj(Qrd6XgVtSn^za+dqcS@{nNb=6I6EzJH6fi5qj&|e|_ z0Zh~DJx06y7E|;OwenxI(SPLlt2SEJ#?|Gmg|nO^5P1g&8*}{aTLs>I47oUWu<|PkCi6_=ol{^eIe!)flPskG(DY*&)pI%Lxa^$r7HVceQ0wr>!oHb#8w;zD zyh~7gg@}Tth3lt;+{)1d40Q5;hxIS$|F}!~cUt~>cM6LL2SX?+4k?y27LxIAwEoW~ zq?y?|ELE8g{xBT**YPh7{KFi;4$j}4a!=77$;k1uvjgrH<~Y)z&G5iEJJflXO&@Ut zarA3T?QH5@v>K5g3!ET!+DK8AN*J|p{N*>{SHCi`21@r84!8(yqUU>rH2{sXuD0ZyNJ1QWb6 zD2@1UI&l}q?cGnqe2O+tmK@(%DWi)tE}7Spi~QmY^=&9P!{cYJ_AHE?WDk+!5qUOe z((b)@fHf1Kh++vpCyZ74EI zM<9x6jUalB5$wWsELU!=M&I0DwG6EVfRrjSD%7I56kT&T!(H{?!-dNiQ+Jc?FPB*J z5I)2bfQH8W3PM`}1aq2&B3EP6EB;WtPPxR4?&&&2eVW5I66y?Ro|3LFcyY5pR`rYW zaJ+i8&3o=wRdp5^wgPsQ46W&O&54v0zEXioPl`Q!oS4Y{Xj%pdtwT`1=#pOE?Ay3_ zm2RUM1%6wA_f?ghb??o@u1#mg4B84PITQucVcs){VfhpaPFf#6HQ=t&j&Uro-A{kM z!yyu^e*V2Y)vh`q#=s=9I@n)y6RK8m%T-m$C7g~@R&FgS(uPTM)w1y zEXefiD=7k%nZYYc59^NL^ILJ6-^YsGo=BYftsp1jMbzN2+5*dQdyn582jFUF;Jz;f z&7=trZ*A4r>tt0I)g{_kj|&`{F0MVl*knzD%~NkAZ}w3kPj?fMm0%jRKnp-`Ys?Cq z=)}J~-p_o#2=ZN&E3wXvzN>R~$3m^#oANV6c7S}WsjsINpxfo!>EsviQJSn`UcXdz zQs4B*VBCsrYPu`;X|#7mKoY5Xz2oiKP`EEo=hWs_=oG3rM|5tNpjW_f%W+ z2_tlo!yqY*(Qrb(isJNmpKcATr=KHPvL-Kg{*6*UT(E4uv>v;(W{%E&ym;MK-@|Of zol=WR5K_8JRs$VW9D_eF%7|idZD3l=J@YTfhxB*kjtzS_q%zp0DqFPXf|Pq7Zzk&= zdu==)jWf@EH6w~3-dGgy-1X5jQyYfleF@UC<5sj@= z5`D=p*u9L&gskTnxBc-2cRV|ajQUJ>b`&QFU>Qh2v3{2_ha1g}hOpfwGVfE?{N_~T z%!}=OwZ-DNzLjvuf_Gy&$rGB}rd-H0+w9gjceF8AYx@ zJX_kXit60QJdB`QnsGs()Nsh@DYR=-k&b&x$P*QyA8Mco11`2A_1ct%H#MEBa{xSa zJ65Hd<1_{X+^T}(;zlBnXu6$7T05$#kjY`LO|J)uIaSDDn(~ry_WWLam7KPKyGKL# zDS{(5d6}9+szM2)#&?7lijjU5%{v@EP&ZhizHW7&4tI8+zm~|>kN6;``Iisy+ALQa zhLE#%pCziHqgq);I@;t#$bKMMzjBAP4qCk)0x%vn(RG%nUtFEbX$Sbo21`u$A(_<{ zcgLXxj&>SH+<-WB_LXDEC}H zGubCJ8?>;e^~5-zM)m`YW5!tZ5enKiKEx;fy&JPv27_bx&+mvW&XYrg>FkfMBsiuT zSI4|{H>$Sy;|Iv$(S>5`-%}xi^}-(&@8aj}_zUe~vV@d1K!j(}T2~0Exp4~eN1F+` z6@5uptLm8GwxW+wZaWT3?;%keYM*BGy%FMCCDwuqPWY^0lCG<#&R6~x!-CC;%~)7M z`Y}%H)3!Z^TbHnmbcZ=631}f5#--0?_5Le}48EN|i)aA67g|wNu$eZ}o{lIA|9I9U z)F%BV^(MXRWpEu+pNbwlZ^nv2F>lF{HErpUW8&#?yq``3zUULdHG(gZ8@&QSNt)S* z&_AWYf0)w$Coh&nOTWLUiKu#)J8z&i4x>4!tF8$mzpjf_b&J*!1jnmd_y?O$?6~ce z)i*UGiTx9aOAF^g>9k4n>9WC=^YMv1+WU(bM^h=bYP0 zrU7@v>KxTrsW%V4gGVy%32{q-D0*J}L;;~cdmLHNSdu8(v=Etnp#-`DL$qeL`k&YM=cKX&2U6zv{_%YXf8UE}ie0zZ}sDVSwUis0P>T z9{9eOQBX84WwptPD#K~3h=;IqZ4L)uamp8IV_^n;I9w_#Jme`{2|g%-G*+jTscFtw z1w4KsoZ9(r`&Mgu2GERMIO~4Ad)ip$Xq1@>K%`r%Wgf?WQl$kQY5*f5w#TVSls>7< zx4+L`4SD2Vm#FJ|bYJCmSdwC*)lL29RLRYhP(qfz`k0}vtVX9<3dr&1wcoJmhrc&1 z^;G&zNiy8fc#|SWc3?cANV|x8C`emB;CW=6-~p5G@h$#tco_uXYBQwkIhdkN5|I0q zbhWH@NA#@0m8-fsfaWwR;wB68X&fJ@g?VN3P`IADajt9Lyw+9=&cs1-dbY@tAs~tg zCS}x%#TJp?FBEP+uLT?Cs6gJ=jo+#Q&cL>(#otk1&BzxrHFGA`SS-%7hE7xif|9E) zxsdur;X34Yy}{IC1G(hi$4u+0$}@X-MYmMBV@N$yk;i~UcC8^{qZEjfo2p8Rc5NC{ zA6|62m|5CYE=rH(U`st3-qJrU>*V~lEWMMeFw0naavNm;@7v=$U%{QJN2r862l1q7~ z?3?+P-<3;k9z6U)NQJ#HX(HjN6Gm>BvE|kIy%y=-2OFxW|#vz^648NCW zJU2B_=_ED&GIl;n*(wD3@_Fj&ID2EdF+d0<1vhMtLN%O*#Jg0T0lt^!{uIVUDiJa$ z)QZfVqw&kG7!|b>-Ha_?N@_v0L!yuERKh7ITz73|hKmeEsB2cFdYby!vFArW!qs?H zk>qiZm9!Y@ty|h)+PcF04J2$vL0M98RycWcpeo3UfP6{Y_k@WY_QEV>sYtAZ6>^1| zC_@?&v?6FIN`K|oAzk{^xK*IKhI3@C8xv^k;$Nx>_M+021u`pYt?3>|`L**`T{5T> zTkwN{@e}Y4o8F{0BIF?H+mx~Jeq)}01YBeI*oR&iZ8|p)#INzA`puhep|yAcV81PNGDS`_@Z> zs6P14Jc+ON3^dnj`KRvNycuxU#eKHxnFQcv5|iTS`i8hdbh;e{t&ceQ&PK-zW@-h6 z7rwEE!fKyvQ0KE9vl8H{qe|Oe%99O6-`X?|rM@`3Lc6|roPc(Jrup5QE^dbRjg=gm z6pIV_w#U=1+Z(5uFLE~H+v2vTRHk-V_ovNmd~oda*XtzpBaKsx8j`Dxid3M^SI?8P zl^Ld=nD5-gvmbEPGI)V5y)3iWCW_pFCS~jhXYjuY)RA?SX>Q!rs`JLMygg+E9@M- zykK_Zjw_Bvh49Cn1cv;#Tz<6A0!XgK8_K7Xrk4zBix69trTHjXl3rvMWXFFqQ6%Xb zthXE!C8s*_yZFoM#xsYCtc@#=pj268RkDqh+FVj?2@gl|3$xxrMSN4$B{4NaW8HMM zkzY6J)5jvLq{ykOBI7J>A5U})GoonYg8g(*{)#!Hp;qs?Dy*gwHCkPK67^t_Vw?ME zKW?3U#n-Y|m*P)uZUzh3ueOTMo*3_d2 zw1duqJ$r))NBPE&= zj_3yF0}G#DQop8>FFPyRRd;GDp%Qtwl^erVb@jm_7INj0Oe_@%aRX1v8~F#-7sq#3 z^U%{%_mIl6Nyx&{>XL6a1u||bH>_00N;*1$X(B~zy*@_M92`>p;4<}WLtvcV#tac; zxXUmxr&Cj~{;WnwuUP-*##=WS7_y+0&aHLkNFTqRIdR8550Ue8EA_LRMpWa%Omg(; z>a5$fB>#1tSKm%Q>;9_piIOq$RO;tcK>%x|1R+hB=tboQ4_~QE>W|Es^$%F`bBvzB zPL;|UCA8}e}T z=1_=ERy7IU&?Wop40D&auAk8Qw4J;JZ)Q|Dz0%UzIifii$H2w{ zHm!c6HVvWyIkw|&W^oo&` zkHQnupenzLWc=`tOAT0^CK^Fc^Y*lB3KkM*MIg=*DHaZ(H{*?gK=zP2Ed8 zeeE%>7X{2e)||kC<5I-~qK0d&QRxjQ-L_XTfW+Bj8xFep(1N?aDuTw$lhv%ohsYp7Zu$=MqfJ>5P;Y^DoNwCC0W!OXt5F-JL#~D*jvEP;JC8bpqoQ6MYGmD`&YRP} zsNS^4Dxo<}ekiH6F%@E_0+>yZ4DZjIfF3YptgR}h4%XS1E!%Y7(iu46s1L*Q4E4db zP_1PL8_iP6CAQ<$Xfw2X#O;8%6^Dvp-M`;dYU^P%Gg567?3A-LUbv-R+P4Z^OWa!t%5^b-#2AMkYp4zpbo-(+JwgnDPc`Gg0g-Klk}}Z-`i{9;GwuhfTAW#_I0-v{)%K?>YI%ZcX0NX*o_XJL_i})0C@-+jg{+~D9kQv|F5iV z2@3xEeO7qk`Vhb{-HjUE^rXpx6cm+FjinI07AIlnDSCnw*T5E4U2_A#k$%Yrv?v(Uo(j|xd{#>1|~PR z7tH%W7GfNk5%=9pwJy0)gguu{v0^%bE$7zee8-82oO@}sPpu}#1$L@iz8>srgzmR0(aqRx^VJQe{+51=M|yVH&r((vSxa^VBL%P zj~HpZrs-zuB{(LwdeyU3@4wIg>VLsp^B+kdI6&;{ctB?R3g-7Cob|1z{PUru7Dm7z z>y?w`_UCnOZLLnKxqT0?7HVYLiWul1F_Wign46!IwD{?+G8_zVvC7pkZ$wSbeE5%m z|8?~*4*b(OKz$i}Qj%_^z4x{@$IedK5@tL4Hb|$VF?4i%t{xnfw!69el7@1~22g~8 z_m=OMAMN3M!=um%zamJpVt3e~$QPpOb9?M|{_bRF-JxoCd-^KLEvxz@l^Eb^3d4lq zTGD8d%$l*GaU6RFc3#b{K&o=`*0seue5_n|VB}~H6}V)kWLfg0MN{C6J<2$eQeqk~ zdX|@*H@ANF1zO~09(OGeJ}3zF+}tmVuHE=KvLg{*R~ku=*2eFQ&V+FguQgIo+#EVS zxzqCD->N0H(}8jyn9kBJsV><#wT1r35{<+fH5rWiFtZQoe4g)%+ zA}n;`{9ZDE)OcQM5dPdR3eooo1*?t0b8N*=E1VRJv$icX@Rs zJtq(1H4YwEQjBrApOlo>OaVbSpDetI4V?8oM3x$o)U5U#Q7+YQpGwCO*4^%{O&c zwe}osVdoA-#4@+`#V1?SWh9PVDYdpb-|H4VC^FLBJoNX+lV}W>?`uNxVRWKrr_M7B z0_ebZ$d^8^NAks|G78LWlv!qqCL$@R{I`2Y_aJVx01vQVKVcC#f)}A^`#u@2SjW%! zeSfm8&)c)MVvNV4FWUC3LS5=NmRaH>_n~QV?V%xS>#2M7Rio>@z+e%e7L9X!{1(f+ zd-I>u?zn>?q3X;O^LT6tSawgnHiioff>=Fd&#TLWs$!hry_(TnfD@eCA?+ME5w*bG zTn^bpWLoJK+j!w+enVdsdFtM&%n9hz#Yb5M45>f?C{Xu|wTCNsc6&!{F6 zd^?I$HsmQ$W@kewLKD(Ay5nf2D1eX!CaQBoEIJw%7LLWE3ckBHj-YDlr=dbsnNCjk z)N(gmd^FTWH!t1Jd>^F!^E&C zmVHG&ZVFJ0*WJV$B!1x=Lr$ksV3%k|Yb&{-MEE1WK>cd$*8EV@fo8Xnan1?PdzZef zoMIWNQZua+g?8i?c7Y|X^r|Owv2$A-o~4H@E(Sb0ExDYYa;VR?o+t&vfp&lqx=oz~ z(@Y~TSM`_P7N#!z4Bmlns& zf4U72O3l%Re}f=&bc%D>bf?g@PVueD&kf2lqj}~1DUYY4Os7HkBiz+w4Nyop8a$)ltL$L)?4EHMM^(e>1;% zW}cbn_s+cUGoLwsoPKsWd!2pO+WWh{%f-!d8W+49cSuH>1i`MlyD+s^J$yv-?m*5?2z@EDGAmYYUpbb0RK)I!?fc+4GuVQ8N3mnC6HTs%{N<`B z!n?*kV&$D8T=#LZ%va$~T zj9c@F{7fnXG16YrCk>`249Nk<4Mq4L{zy8(px)5=>&&blmz=n$6b`lRlYch{{q z;rAK4hchKu;yJ7#Tc9Aj3QJ<qVSAUUnOk;X+unvr>!Zj#0fDM}JRs_vZo7m~je4mJV z=hunqHUpdR18f9PyT-b>aG=B*CD@3(HITMGcRh_$ZP#2Q|KI)v|KG`A8+QVfq@dBF z+>v^vgjQR*4lkQIv}}aZQuf6FFHSI1EMrY7Rz^EZFEmIMp)nwZo=gb$iAEDGT!`jj=vrpA%>0Y+Uj zEDWkba56D1A3r8wS^T!Gcya1@6*p%-{kOZvffLuGy|L^{yNW+@e2O8o^0fH=es>A$ z0cG`>XBDGI)cAg1DD3N%8Fqd^n3mo2M~I{f&q;J7HlPLDEhuf*pINYQQ zv1tKf$IZ(Lwt;Dm)))I?$X(Qp)JqqA+51z3CeAuW@yyI-dV_0@$a@;WNR`CF2zAOP zTRNn4%#^H2Mg*CW=_-y3at~f+W-jnB2V#T0&YSD#8^6B0Bk&p?jWga06;8zKMY_wS zXWc^s|IEiwrxy={sOnzBG_M?ZK8#_*`m)+#8a=GpZol{{%Y}FF>$BQhgJ4P8sZ1r$ z*}zQ|$!^oq4_FNyH(3k$xlez4`2f*|v*ioDh0kJ{Phpor4d<~7LrxD?U6m+$Z}-3+ z4*;*#&K$+%E`Ikm@2*xloq;nX;5RYyJJ&ZL;`kAD{);hz%zA6y?n^{6NC zngv3cffKP6{hm?5n6A9SzVAf@#tMh6PFQE zP^oztW|gtp$?ZOoRuQ@@AJGlQ( zyk^iSWT^29W?OTM5mnK^yVcz|z8c~*R6E$Bz2kBHdkg}`)Xu)BC0Qh9Kf-uMzSx&k zrqS8C*_|&i#PfzrZq4rvhnQLt{0+{>enS>s>)R}APsHQsh4`L>yS*ud&>*yY0rU zL({-I;S{6{4X4?ZhY`9?|F-r&*sA}vtRF$a&iPnv%@NB42e6&pMaKi7yuK)W+P_KV z+)u#SEwhTC4XnYgYr-nYG%XKx3VotoX1XYpgue{9;(V*An@|4nb~zXg^7Wmnq7W2+ z0*+lPTmDSx)lmPq2Bs1oRnD~JEx!j6O*TU8zr6(!6e7xIZazA&78`4Dxye4&GqRBWxLuG#nbDaxAQL#T?Gf#2Kx~X3LBvs{W2k&}FG+}o0 z@R#E>1mHyJPr!2>jd}{+*YQp=UdJPuQ*Ilv1>)@6=Zteh>LI}}I9^rU)oZ1;Y`H1h z4+Jm{)jzgzDZ$@h+Puf+RGLf+zZ=3aows-~o8-N?nYm9U%YjWZPe|Xnmh5_j%LoX# z8hLDy*DUy%aHqL{huwxSxfv6$JpWl`QXoEX%C}1^@BKCYpMb_@aJSQhyKL7Pw1Z!G zW_3m(-iz;d2(@B1#V0{Y+l93IXKhQ?ujb?W3vM&Nba4S_6R1*x zDd}W5`{Pf5oUtA5>;88qVng*T{0mUhmLP2LD(GCgYZ{m)-Kw!!456SVb;!i_wedi^g}!K+yc z-rB=h!hEcnjZ8}_p$Jq~{c3jAzYlHvHtH16gc~{|+32!_z|e+c5CnDuiP_?Pnvs!9 zX;nt1`~-OHywE=~l|eK0&4`juhW&`(Do$OCahbg^Y+u&5k5m$Ak2VFWC(8P+EUmWF zm(^dD^(1+%C#sK_LR&o-;9ANNVx2<-&G70c4c7OcpGc;fPU=qC985AOp1#{ePaN3U z&Lz2V<|m+Pz5IKvv5mENFu^5oB@SdA01LLz|AqQl4xkzqb)Q0&hdijG6|bB2CQ7(Qfz z?k#PjCIq0kciP)}d;P&)lS-yS$BDt2Av4;#c7c_X<}gyGA6;fk)Orw`=rdHE|M5V~ zkCJSE#1%U_G@>b&pf=GVv0nP}Cje3Q@Nb3v`%3g+b|lOnswL+;rv?rnT%T>MyN6ZD z60zjaU8awROi0A2Z7L=xxJ=CAIyw#732_mj*C)!D)SPQD#~?#G)Ug}f=c&rWteB}G z1k(amM@8Gl+RCV&p7`y+K-+;e`cfZOP^t8`;e*MpB$sHDLAdj@zhsi4%3AGqb+<<+ z6Gv*u51rf?O+XaO$p%#U)@N*odxyOZzt2bEm;AVOiUpa zv|Dz!Yd$msB&}5~8)2K(3#|wHpIz^#oebi?wiDz46N}(G5FL6q4e1sGFZ}T@sPRJy zz6B1Brpnbdl^B`peRx~tF6~MA)kGrB{Ha!S9=gspj%zaueQ3fK&aUITuyVU5jS^{= z#7pSTA78P)Mit>{u);H^-7dIK#&w{l%rMr z^4qVfEzXWX(3_>>zyVUxgO|Se!qHVn1{H0bNKnFIAR9AnW*$y+Xz;O<>4ohB9d=X6 zMAHGU0E)>g0Y}PoC|cogAS>5nZxpj1TpweYR4lzaqIir0dvCc7qP;fB3XGj^($)tuzp{^B=pJ)q9^Yvao?6v1!sjf63y&6{C zn}8tB+9KU-=RhSnrWPn5_RG~v`-7e_Gh1%oZ-79lB{pp=Q#**po|a)`zEil`5(@~Q z-R!e!xw7c-W{=yYMc#hrwOKq+hLuG-rWj#Q6jjPGV7Q^;8dxZtA}+h>(!kAOV@KEQ zm?^5CAO$Q(X$Mc-be3SH?3~Z6jOh~Y!D~iIu`Eq>YRO7+ZA!5lyBVpZ@v^(&_j(Xw z=cDWDzkjGE^XieIWJxPucq^){L)O96gd8p5^kUR{GKf!xhSKyRs7r79MjO>97@yuE zwu+1NMh?u9qo%l~IOlCy#kkSu%4}8LoZQOz$dv(A0fq_Y*QJifwH_XDPEvY)rrA%x znVI8CKLOHu!UvloFAg|5qib3Rbg#JY?43W?b&Px%Dnpo}A#>7rLV{;Qtxw>)@vgRb zNx=o)T8zBAf>vyob`(#hna4t*B@zN(5I=_u0VkkT(z<-N!=9X&Jg^egXpAZ+-HM-F zve63H7F_(&dd6B46=7NLv_eqN*sa;z=h1uJ!?c!_MXGHi0U;WAgZ4Ct-keUpyY2;3 zoOFBEdpDswtA;HjJh)N04`;vWJeW7piIi}3-Dil^xlZf2z8$U_V_Px9(gMN?V@I;( zk{Wb=uq=QjI9pZ7Ak(185$cQO1`1sNoD$8sZO1r}Dt}{xGu5{6+3xFBkvd^WvWZ4# zWk#HsejV;a4NUwA7zHPYRT6Ee>x8r*^O5UID37IK!Up1T9~@ z?7LSsCfBgnDCITR4}_w8F3Y-HrFCQ!Ra|0`3^ZMyJj#X*^_eSGuk0BPQ~r3R;a~NG zMHLM39;?<$Jti-&`02ziII&H0d(tN#v>z?SeD^^iIx-Wl|8|;B%Ui2bIYIFphX-UL zQz_hrcPl*bknsBqxO~FbH8v&nYGWMgZ4|#raZ+XQZcPJcKC<}Aa+*z{P15NCf;$a_ z$kuYn45945-8$sL`jV)^!Zw*jzF+75Lo1*goVf|bp41#M?N@x!BFy(ALyZiwiT?o7 z3W*so@i>)hzj^85A%H~!bAsXGJbi-Pt$JW%LQ9K0=y%Q8*t_e@u#Hzu6!i+bwxd5> zDZh6SBN0@a4LB>iER$Ew6{utpT&fZiIwF+R&A5pVPWzsK^)&-mdN;g(M$ylEuOW_5 zn4y_lV}X!OtJsjkS92!RU$0+%&)&4o3InziqVgQdDTpi_y%XIAa;wa;RUnq&P3%sWYQ(l*>$C%sA*N-=o_|?QZ ze#h37-|rSJwDWm6$Hc%$pZb@fO}8h+8|!*zz074th!7Cos9_>Uy2Uru)+z#IYPQJH zUDS|kB9M27@sA`9+PcuYrAD#SO=#XZ9crIq0isK0gch}E@3VhGWA<(M}Ku~a_M>Pg2Hpe6fHPov99-yvQM1XxiQg|&eLH!K{SiaZW3YeHm|Mw`L}*wAxNBMT=^zhv3WS& zI>fDw&uchz+u81*^w{&G$L->VjCy6d@_&pw&(8*YnU`&c>g}zxM2#e5jV}LMs)YLi z0p5;H+gPqCA$8nv>s>H>t1JLPF~$m2EcfU~&O>Q^iF$ zj%i)A#X=(RPDY|-M3;>sr_qLU$*o@p`^ThsaYh$M82QM@xfx!t>&xs>eOpt&iHYo^ z8VDc~(&`CbDOjmsTYH&JY*Y5{XG*=?75I%XHOt`D4y}u*vMbDXO;R2O=)e`84fZ66 z1XqEQ+`oME610&i-}%ymW|Ot?~T)W{BVDo=me~rNIjQ){#TX!!*(|Pzd!S;@93Lf zH#fcIP)Q-Oq)zLUucZ1XE}Szr-)xy;%Z95_Cp(fl{jz@o_&sKhuASiVR@8QJHcH5E z?1kSz>u{i}#vFoDC5VFvzccKTPuw79%B#Mjz7E@|Hq(1Ql83*5{9V-7pg8ZG88A^A)jq0 zhJQTFz7;TE?dmd@HxO0zW~=5=eE@GS>;rAWd4GLKrI7Kedd{>(HbaxuUZRH6lr|Fz zndN-CF#QI2g+9*dUiE}@Y`p$Z=*Sq7x>R7(2n8x|$2WINQ^Wqq8k(45(~399B4UG% z4+aR<*prMf>Q!I{GZ}a*IU*u;^rqP;RqV1@lq+q0whuf~S-^gmBk-erqt3Kgw9Q|7 zRXx7EzSa4&c@CZvc^UluSt!j}!V2SUHMd-T<;Tn;H=%fR0N4D#N7wYsta?bv-@a+}m&HD2c_La5RJ z5iQFj3Ln^r#BJd$Bno%3LCc!CU`?Vclw&3(kOYm_-py9Q#C+%3I~$ok;s4MXyOqS) zP^gVO=rxm&cxY>Ae{)sE0@69I8@m3+@X_*3Nn* z`E7Eyo&Q74WX)^kWqnme9iNHMdJ%0#HCC3;kpxq&!Zj;Szy%!5IFX2cQ!Lz-cV(Tj zGzEWZYf~bTUFy9NBv7HZSc`SXz{=gD?D7-{jn+_;-Q;9b3*3|M=UU%rxmIX&G{VK> z#|o*nsD5geZqm(^D6GunNXH4ggl?cfH93@(j93CaLO{V4R%?!f7yC8BV5iQpm5x z@vz+J$Q2c^EiIt)h0&Z3j67S_gR0{RvHn4iB&3;pXqXgdg4IVPDimDAJi|KnTOCtu z`&}nVEGyS<-HOX@zB5w1!jO(`L0O_%)Vci5&$(zKa;BA zFiWqA06`=WTZF0&uQh79-j$gEhyxUL)szYndxz%C{*iwJ`Oiq zq2JkvyNOnzNXBESgkXnY`$t;O|Ms@eCjBx;r)o5PsgrVz$IsbSS~gT<4Dg5DV+m^L z+we;lXHrN;=B>Y02WF6Ux63#A$*$LnQ{>**!Ro*^GUXo*MBDTmYWZq^1Xc2>hXLI)>g5}v93+WvPNwm z2IC9yOX@y`n|SSKTyvlx|0iH9a7Y!i6)6UXDwt?M0!yIIbWZN^-~Os-c-J|+xI@d$ zr0SyV+q|kR{Y<*5hwb#8J}50K1ZUNikx>uFWYmmtt&8G98r~5y8%`5EE^jF7;& z5dd}(Y6+)Cg?6yNncXVw>t`MKV}KgF)`oB7l1{qq+BLhLUDuEj4n#^psq4uoX%E&e z{*uPun)2VTeo=j$<56H#RHRto)RJIqgu$vx@)R!z&kxw$zIprK+<%)6RL@eD=XwdH zzFO@KQ@}?x=I*AnxmH|+xolO8qP>9D@PHY|;wP)3g@R@{@29SQpPv7l6#nZ6ht4le zB{&NtWEl1p0{Nm>Drg0gqWW;tTl=;=&-$EaRG^#=-q4gEH96i^eHd4kj0yhQft7=+ zn%AfQdS?IViI+NVBmLxYel3;l5U+Jv6{&1L?vFuFGrz&=S?`Pi{kM`ztblMpfk6f+ z1R|aHMx+H1>NgnX)#!jwR1NO8G^=$3`bI70e*P@osO-?log4pGI_RM_=i9~g{NaRQ z=9dKr zt+RNfhqLa;byr#%=$%T#i9>YClg%a=`hgsxv2#xm!VHRl^wwa7OQLPwHmeSjLio1| zht!3ocnciAYaZOPYQT7(0PRs@z2NeQxvyxtcpe$UlvB9g&QNAqKWogA$iMQ3(8E6O zh!A*0P7y3QM=t4;Bang>NDSgfmd%t6@5V=p&ILIpJ)Qx#V1&=s)|cUYZ7*JU05sFR z0H0)kn)&?ATWpuS;cf;EOSiB5i1*KyyUclzI}&xh?v`agLgdt`xv;olEz9U(VSk{tXzJs(m@EPY=CafWp%KJXYdrMYMU_g0F3bOe2$6|lP) zC zzT8;%x;AZPSIOIX010zuu1763t|_kpWrn<6c)TN^_36Co52$bsaoPanmvj?@8s&}j zbQ?(;5gIjqeIO){i0#GL66It@Th13?gi{DoT0Y*R3x`2kcE-B}Dh*Q57I~!Z~X+#vUwfJcw+H+9T!YZO!XM!x$ zq(56~S(PskU3XdQ<6Z8&9Z_|cNX~{DqJk zwN)1$iNVvTgrU!dMkGb?2qgMGdC>jZZpG2uLqgsMYV!;42;HXr#a_kh(uP{811cy7 zkazhLrlnQn{B&X0&7)~2uDD#Dv%$(TqWCu5o9izm7<)~mZB`(YXR-Ny(Ka`F`k1{{-BwXPk=!K=Q@vK3 zF6P|g8(Cz*Dz0r}AN)`P|u54p(|4?Rq#hnp_Vc@K4gN-KV^ z4{$0GP?hP>pMTt7Vb$p5^q?Z2?KFTh;!$H+naW6x60UNjmtJ};pI_rp|*C|;DWf5haUYKJ6!jf@UYl$^6oqx}%`G{m>87CA7T_#!_sE;n^wdqLbI+jqK!xy+jPOFb z@>HzD2HGPgvHQ${6n}|)@Mqq@&)#An?>gb+s5g5G>ay!~smXhIMXpMCsB^2&-$|ZY zEo-VAN|w~X^_jI3diSlO3?Ph(H3hYnB)Es55hEc}H~=aB_``?f)+WIl8gI*sDqFhy z19*+BaHedz)S^r7roK?iM;={Tb$K~%4A%`%`DCAlIZwJOk|&z z9=5nYd~|SAKjvkox2)4U3qGr+#_n$~Yo&cwxZ(7kX%!QzJm83Ks z1{brqE&YPe#|}PMYl!0X1)+ zqrQhx$js)c;c9}2(Rd$P?UuYM>>9x|0?i_MVP8*)GGxOa6JiX5HcIIf0|noY>l%BLcg(md*|=R`NsoP}8Q7t|i}g zCK0X@bd zzw}pykU47+7S`AT13Q$QBPC!vyvj_B$!4~=)@XFx3U)7L!x6<$E$lO=Im+<5Sx0-% zoM5pBt1k|sF4(-*O$eTSeueMag0{27TTxji1JS&|f@Ok3vvPbx>^e#Q8d@57P5ppJ zs?pI&ci;DKp@GwH!_s!cM*1k!is*$-X9085bEvRv_4d4)Fw>KwgKOUDW4~>J!s41c ziNgN-U5s9Lt#=Vf_H{})xGH8xisw_L5FFjSKMg_fumdV+G|5M@Wiz-=y)w&L8N<(t zbvLsud?JQs1ZvD0ZO16I9Iep&8eiZBSyR#Bjy2KTNI^Bby*lln%&0Xn6ye~>IWu3~ z*;86yRZ&p|8-%o6#FHx1rRYO%%VL?=v(E-boBu+dFT(eeOp6LzvbDclEfCGlFQGoaxoXS3`5-pQh)Su-lkK3a(y5zz7aOjhKFl&(b^{#j3$;2I_zmiwb(!9ZWgVPe znI24X^2jM23N@@4>roZE@)MA~gzlH}wtF|>RLTWlMLpmm+He$xyA~QsyEGklo zwsx+Si;9yn-I>_#uPaP5UF!O5)`q}qZ7mTg7>J5P$j$B0JP;9Ks&Ad>>EH7AU2`4g zUL|Tj@f6!GTPt{`^>nchm+ROCWCUq>77iKknXg1?VA#u}3q1>);(d;B!03Do5q2@R zL8Zt`|Mc{t1uAfH^GNJu>v_eBIx%f8xT|da;sCp4guy$#w4B0P72d4qOAHN)2=Uq2 zlODH?D^W>1kE#<{XtL7T49&D(?awrhkrdJ^Neq+ZB8lXugWW$1i)gjtq!kzUG@@ow9SQ=Mb?sKgy0#9 zduq1G+ijE$@kAdeRXH^`)uEId6!S+OMnFdATIqNG)fWNRwlLn{-z% z*Zz;u24k(40<#83bPNX6k1Y&q&Iyb}kQ8bPaOOaQ+2De_c~IjFC3$lXqXFNFy0y{V zt-o(K2J?@qN$TebKieN};==*O+pO=xC$Y$HK@+f;c5~S@f{BML6|;Xk{mzN*FIhcF zHu+vMck{0mrHV(43{HkjczZb71hDeG1h|@HX5Q7rVezNgq{vUe=P$j(?+!|bP+U5O z?aD8LP<9Yjv%9`a{o_E;b;02Du z_PZ&sJP*F%pMbVWN(5tVGy7DM$ZJ%&tn(7V|MT<(U!3LVa4c(mF5Blq&*eXtcMfbc zA2pc|Dh~7Wc#ym@a9E(;P|xqEQ9ktu!SRY=LgU)H8C}9h>hkTmFU4^tnl20GRB!X3 z^a?J$Lq=yfZ*6 zI~(CD<^8tDe%a&Us7is?u!N!$-nz<~871R3a@^~*NQB${>elgf5v}Ujhiw;1pbxU_20V77ZtRqTUSPW*J(f_e zX-$Dn5`ue1x*PZrg`d+oS$S^JNB>pFLRx_Bmix*{%o@$}N#A9Ev} zt5w@*m<~flqYkb*GPabS@cB10adNszru8;5?71v6LCePOsO%a0n>oSAiTd8rFuD8X z%%Dnsgj|GyfM{6-O3z-_-+~dL-L6~qeQ_ZixKNlKU5WkR6&9EFhf_%c`8wMSrQVLZ z-|+Fm(q;C@WxJcULwY0pT8ODK)^=bU>p((P;(8JKbft%mGW9E3$u;c9EOqQtW`Ztn zZ;lgeT}4Z)E`B}McM6q8lu#G!W^s1HYWQ(+I$?BDp!48moE)xKD>2H=|5Pjd304+$l}Etz;24JS_#xIRo+fCuk2OwcJJ+16xua2JcZY4gR zKxT?x;!scz(=#Bw8OVrX8~v?_Z$iF{L5;qdz;m#riUS#SM~$t>@yqhkmur&Fek@5+ z!+#7yWGM4@YC9=DrI29a)n+4`GJ9eF|E~iN^iMb)$kmM@^mVG-#P}18dt7t6;TuW3E*9?hA>~h;uO!%F&&u{ z8-6=0>mRupn*RLR6A^lsA8x5up!m)Y*`V|ceenZ$A%BldX;7l??0|yXc(zsGuZuh% zeaEtrhpeVazSovVH%lG%^$q@J^c;zw7-=L=sC5!^hKICGvpnUPLk0fZHdj$uPDS}x z$>AXNFnuFJWkpMR9=%^tRW>!iMk>g6o9#yX3GiF&RV*6%y9EF9R_77S`&1$NMvkev z>XjU=LLMN*gQtE$zBc?T;l-XNE%0eWovL#nS+-KRc@=L+#doJcLEKSgMPmOxkLUlE z7#GFV9vT=^;6g_$$iYHU`TOn4TygNt@T;|}+LJmR z=I3}lv#LUkU~LJj5WHLJjo(|MI=$I#Y5CuKpj^-3XK7B;@&2ZL>A}0_TBA?2>zwP& z$W2DUQnTwqs1ExQ-_g&Pu#)L2DA2Qv{d3qqwaHwjCYMrC5>AW zH?csgDU^n07(?&VKb8BJ6nls&=c#0bV&eqvy-UkL(Q+cBH$F>u@L2}{qNJ8ORQD<; z=mSJ`Qjv|#)b!vay4j31oKe+6Ty=%ngZxAMDy(wpPHR4}qptwboLW_%mGX29tbmDV zUmgEL>#i`>s<{ch9I)%`wTd}iAGq|0Us>3|^&zTDzCZJx8vSLtv!|&|# zczUmYNTxu~3cgHZ8fI?P@kYzMJ;~i=*l^z!IjH;m^4IUa2aHGRmgl8jh>dINCT!fIj&s(f9v)ZE<8nKf~q?GZX19h#rB{{7)mNV&T12C1cPF;Dw# zhglzyC_~L1xCm`imkI24+FkBR{`Bu3`mdZ>tNGAgLZV+*gmPkXF)o9djsl9Vymz6v(B$I*8mM6Is`O4J3*~P=Z){M1qN@CtuR1vFYZDVIm5Ax+bb$?qD~Wp zHQX_2#R2!-r31CZPx!o@uZbfzRV$`qY7j?R;L6~hsX6K9or>L#8A=dO_}^Z~(U9hZ zlxlU9xmwoCTCWWjwgyRP88{EZOAW3X`39TGp*7~ReH%%qDGEPWc0OLj4!m8KP8+2s zNl!d<)yQI9s^_Q@E*LsKocEZ(fU0-uRQiTUX2+DY`-ba`7xz##s5NRW<2`VwadPIy zTi{e)p^@!E{Szd1;n8@QL*%#m3ZF5JXfl-T*z|;>pmxEIV)GM#b_v+60soPl7P*$C zH_uBe{yeymY(-|I9TSp5NkjTU-7eLvt%mAMW6x(7FIcPSDyDfW81$vhtr-m+a@Bdn zWH6RSO)JSb1BRVeoveSrY~GL#g}AZSEw^*&aJ0T`v{uN}kJ!YBt$RF^$4v9TGmv&R zy3jOMMEZ|3huzXh0N}9lGJnas!fsPqnpcd*K~F_>G)wj-RCY4;W*qJt!xC;@K6*bd z>lW(bmJIXOhfTG*AR}eM$#+x;{&OLcCE-=ru`rTt@#5K!?Z>0x@_%^0oU1JG8MUh| zVrh3Icuf#HO?0+Vl0anouIl>N3x9H3|mO3l3$v-(&tAIU1?M> z)+u!MvHu;gj18DsY65;JiQU1f?Ci)Q1kXnuFaL8UT!Arg?&Yf-Tp!*_sV{G`TYnvU z_2Z2IS&8UgmECZ+_Db%QymX$&f9ku@0BSqc0MB?a-b!^ovO>Gg?MiGU&W{Ce+w_i& z%qN>nwOg{whOt~wy=s==cEhLFjA>u*)C$drk%;@}-->P_TDSNaRk2B1y= z|D#;x8u-95ah*Q_rw#ofL&I0l*N4)8iQwUQHp{b zQPH<_Sj&I4Na^9W3^L&`lzyeJwN!xuJK#{$G6~`8Su8ZCwUGkMG-J90RrZ?y zZ0^c?^o?GuStItj)i?DsG+cVPqVxJlx=SAD0C{;@VnF&dM}%D&%K6OPslaOa*VdO} z{cWg8@*y~WdCA%Mv6-*wjV-zBd?%m1%NSyVyXwl*?OSBqnj@}C=Q{kohKB*m{y>31 zkt;1eg|wEk6V-PnPYJ@N7Xr2~Yy*Nx={8$oK$&VYaD1xnZ0o^F6qlm-f?X9VKPAkU z&nr|#%F?g(J ztSZVI@a-_Q&{&^0);qsYn|Km}(rL3;77*aSLI3SBCQ+biu$%Tm84&5O=;c)G=^0$OiPHpZ?Tc-fVIC>vDuw@})D zaxD4pb^OQMtKDajwVcylRV;b1|9bFVS=>*+i(j(@1}f+SHVfVbeIy9@JkAznk2mmv z@tWu*s2sg8MnSL|7w*{NWh`IgtyH+2snH<;%+@A_gS?%)BbVS1u46bmuuU#>hdeav zci>_u$=|WGVe;xfD#?lC&wT>-pcDJTG||HL1J$bsFoMHDtmVDEI|8DLqp3Qk!y;&? zm#)-(452@%%<5I4NfS!1q~(HDxAo5EY=$@~#>ufJ9x}3QX_b%7V192l<5W9tKjHa$ z7QrXzt83j1R2ViAgvow3U*FOsEzU^$SoqN~((FCEq4lY$ADQJ?L$`_QQI~_NtwS2W z^OldbEK=DkkRb>9?BI5yS0)&k_Y=^EP~LGHbBlW6ym?HcZ}OM&94<|*0VZN~wCix# zWrZYRa=6nXJGn7IR}JYi>g4C>CgGX&ZoE|>Ak7ko@zu&4)`E8_*H?rf%a9q_+D#EV zYc5$a5}#dJxyvHeSc0*6JtaZX7);3|m5=zD->?6`~4SJ?0Lq!bS?b7Q>cD`9ibPq9A#hZsV7wvZs zhnZClteNdAZphlwkx~Y^FuiZN)Pt4st&jOJ~W_l|m=3bwr zISG0bu0MMSa_C(57YkmDtO*Q}hwFSVC;R=i?sj76j+@lCS|gu9YfEtFmlTI7Th)*C z66nijpWbA1F;vBj;UIcnOT)n-iE~bBAwL0Gu)Lg3w7cDCe5A`k!)3`HBe^6|HK_Nt zh4CPl1ksL2R}#}rtn0**1cIadFV1onuE_iZ4A@!!1dMzV>roBWo9IOpTH-P6orrbK zKvdlqS&w2}iQ4~neepjMx&PcbRb~n>wWJc^e3Rcs$b~_xun`SGijGZYxO)gKq&x=v z*m5kB_7qEbRJp(rqgGC3f;pmDo-C>B%k)#l0(QMui~c2_liL$rl_|bWgKBAsq^_|M z&5z@Avp)gvAGXgv} zwR5COUc-c6J%8@xr{O~t%Q8dc?4Su*r7e+qJ$m4t$Z$%0NO~z!nO>e27oq3T8q=Yr zrX$Ee5?P%*;1KSw6P$3VDtsQ01=h6M$cUF|?AY z>>0XOO&Yda=5sr5LG2psotw<&wGYP?8r1c2Dit2CB~%x{&^9vt88S@yI~v$G?e@Wb z-N2->s{+kl*XhwR;HAWkwA5SE&Stuy8$OA8c^trts75Ivxt8Q#>l8SC@b;KI*Dv8*j8ZesRpG+-!-x0FCIr59ufROVP2@w~HCfQN=f@+u zHDP+cPTJ)&nJIDH8B-mlnAJh~6Nl>}hnLTfVfT>C*SyU(cxWGnI%`As(WDa=o3FXTP&#cnmgzvWjF3X zdV5wM%{fyz6gvEocuf2phu@;SdXFW)i{_mA1yDRN6h4B0N*?g2wiS(UdzD2U+)1w5 zt`1+rHb#8KYq+ZvuKI_S{Mgt!h<*;ROV2*1)y&hV^jdh&iK1%d|8hr34!;Zf65T21 z0v*z(k2~W!c+@_OstJ(WvPSv#^(3PKcJzsxD>tUH`P46hl1lfBG((G40xjrkHzp@Y z_i)YzyPnXsUlWNyK9gzQN0XJ`NQzdVkuiPf@HmkbVw=T`)->Xj@kYyC=AdO_0Ivx&1qz%c@KYzJIz~C{ zP&!lZvV7sw?$8;#e)O~c9N$+<<#M*W8u}baD&;4zuL?&!)#5+(p1(|>wPuU zZyx$*iq9l1l{6FeG7SrtO39&_lX8Z3D9Maz(d~srSEm<`Ubu`;h&W*R%s7HxC+!p- z-*DPO)(L=3NM$-C^~Fn@`?Uf4*`;#}_BN)fWCc@mEy&L2u5+`!`IAC=bvKqimGGIE z7?Qv3%5UmHtF#ao>+*89lNaO9UVlH{jwGKFv+!M12(szNcdOq*NFDw5eO<&x1 zRqqw4UUHc;peZ5r#J#wrVl{QiD4KTKgIcU3Zxso~EBTFXyK^XI+)Kvib-VSX^n*-R zKmN{7z)_vqYjp=n)e52wrz>&?wMEQ&_**A%5FRbm68*hq7bvC4d*D9%UpnP~y>n#6 z$}$d_W@)BS`Rbi8Bm*%{+b4sUhmg`n8AF<0GF=(iB%4d6)Wi3W+7)a)A15P+#K-sU z^;d&)U|`sEmXqqrQ+}m$L)=M0LpIvSL=7va^=GidEOmDE@S~$5W?!aSi3nL0`ORb2 z=}t>!FU=)0CbKmnY9i1eedA?`rVbW=NLUos##`KZA!DU(FkriH_l5EwN-@pa#d5xp z2bjbtSfrRV={H(Y%I>xMVL^T~>8X7|+EF&8{RN*V{3?h-EBXP+?Cj5f;9j=(HuR_m z7LH5WZrUS%{8**FGf~26@4k5WoLl9S!{eg{z3bfH!Iyn7yRrQMy#O&_5JS(U$D+Wc z;nnRXySjB>Q-kT0sCPp*>8fA^zc$&hha56DZN2fB-XJu!ZJ6fG8)oGQdbQ)M9Saqp zy!M_ON9<2Hz1_3Es8@Z2f~`;yzL6n4ljf|^ACi`URmnTh)ygKpxp8|*hE!3X0ev0| z!3erH$P>_a_t`>~MV3g^Z}H2C?(XI~Zi0LDlZ~5h3)d@$HD-!BdYA#Pr$fpP`L0os}EXCrMhMB6trjW63+t;UmVa;@j2LTvAsw( zzwV2^iNZ3`)Xl;u{g7twI#j*JoeJ;GOSB38{ekxo+3*eZ{=HVgcHiGyZy_DOQFfJQ zz=t)Kg=Ce-^4USMd=fFgJ8iYzBJjB!)P8Sm6+D6&uOK6;Huzo?LC?NYQu4&p3v~wRZCI7?^2`v*7*njTIl|}_W9{8<|YsN zD&RrKWmVSIW!4+pV<8bK@|LdQFvDWu8Jewb!sE29b&SJLz^AN$w)Wz5h*eZpz$2+u z-`h`~1LDKYhT7>bc60M=9dSn4;Q^VUTyUe1i#WXD)aMAiIbIQF2RfgquftN>HA-)W zqPNZtY`ecIWcn+Gu8va|Ulxz8+uM#Cb)i)Hz=lOyB>TSDsKSScvHvR-)>Kr4#u<&j zo~>Wym!VP#Eg8%fSyR3nT>a*^_*#9R1~2EO^m{c!OmDNAe#L%HIAiUqCdDs3fQ!!P zWI@7#=w_*(fDf76FJL(8CqVXH|58GWlk8v@uj;ONZ@}jEjc)cYPg6=O>i?kjZE)T} zB&lf$nHn9ORP#KQIz1jPr%5gbiS zo6?sD(f3<5o7y98qVMV)5`j2LFn500<`Q_Xd#!={Ug3uvz#0d_wXOkURMuR~e0ho) zkEq1&jM|zrE@jAE_5<3JA)nF|+_CIh*Y6+mj*V;8y7TVdshn9g)BvL-39-+ zFatQWZRLyO0p=keT^zzny+YSz2`8)D3L3F@7F6f&6xa1NZ8Gd-Q);Hmqgi(J_4e*WtA|HImM z$2FC;>!OYY9I(=xjowD2SH%G&2$6))i-<^xp?3(33L^az2pI`QN(do@UPBu}KtO5& zkwCxzp#(zj&71k=obTLwe&4z0o^$U0Cp$Y?YrT8zwby#rTb{?mcx-WMY|sD8Ro-(< z;bab##PhuTa*9#2IsWvs5(iZkN{5Q3VY+&mSZPH>^tr{-!Q%;d4#wI0pAIe&z}y=G zq7rX1VW72wRGc&0otX&z?VtYjzkBhN!x6L}`j((|HNvjOOLi_FT{%Tiq9~^eu^IhaF`;fP1BqE zjym^tU~r>LZvzlroI7Y25eV3*I)k-ce7g2m`1^nN?*38kG~c=(96)@-TkW9**jSm2 z)@h!_%tZ#M7&YED303JGRBABJu&{wQSEb)Ya`+@g>T$2TLp&_CbSX7ArOZYGTr`pt zcT(b`l~VSKHF^X699>zdH=lz^7leh`LBDbKygQzRH z?VP(fN`M!H?pti7U5nUFTAe<4#lG0YKVjQnBW#?YnZei{-{eVj(J>B%>LO~Q*TS*7 zOFFaj=*68-Nc2Tv#nD7`)`cQaz5&NCuXpbxeEdhJ<0tQ~NIP~thh^NIOV5v#PDZ?N zF9rA*&(HNPTVJj9aYj0h|W_CLm zbEL!)x^9!+>}OHphy2-jEYjj+cJP0z=YM#5J`vg&s=W9F?~o<~3C5U$0N{NE@VBVF zS%#aI0c~`xAy*(nKXGEY)OF66U`jAcm;&}7?;SXkP`nV9931O27IC=pYA$nXP;uvF z>jfejtXr)Asw!%6Y%tm`=mf*>;{6aaIvtljEx00{7 zIPP&y0E*%EbuwmipIfg0nJWWb3;sfvH+4U84Q*nidUs$#>RMa$g_ih>3(o61Jlkrj zjocGAH9UGi0ArafrSB&m0J(6pcv@SH$I&$kv0-lBT>62Ze%T9USH9hoVte@gl>7g~ zj{2*(W!Yswz#h4c<8on+cwX_mlQ4oakc)fZakrX}>g2eQ0fMszm$HN*9)Qs`m1uyHs%Y|1(COP`Os2fR)zH6i%PcK z0~|K)*Nkg$7O*l^OcbLmS*uZIWJCC6p}-F;glrE>P+c9>!aEc0DMiZV+FO)Uj$YlcB3GS(k&G+drl9`e#a$?WoG=On!}8yNj_YN%{^xAXMha zW~o&1m=^;X(qY`0%YaJJfBCByPn8trykNVGiLtphPAEO3@S0=v@Ne-lJy%dmGNHK3 z(8-Fk}vhGYn}38UvsTLw7H|yrfkY!N(aI(>nA>q@?P2?ePkOG()=5&`X=Hw|bXSF7WfOrD{Qi+4h!)L+cerF_Lx z7BaI1(kz=pn`^T=VPJz1SjiraHP?>_Y?v=F%#c~Ix$TA7RI^0}YTFCL0?-JtnJ{at zMf|(e7gGP zFHZMIwoOA{*}AI#U|VA4zpZ$@lK$;qyW+p|`IK>hu0;T%Z5ui-<7|}7(P|yynJ7PL{cSiR7+3!>K=A9wTo6M0YB9LbYI zG1r38C3ah7nl)n$2GRjIqk^eCx=}dqAC<{+T3Wgx);lb$D|@}TmgBM(uUx@~I-BgA z^Ji_T5OdP96u<`;24#Qn0swiIY1vg~y71a9 z*pi}@Pqv*1KiRX$=ib>ELt3_-e91&vQns#V_yHJ~a!NzzL_QiLQDvTE`hZRQ;UbCg zuZySn26-4XXeqm4s!uaArx6;0c1B-@Y z$2)Xnjn*DAj|4Pgauy~>7I$B=%X!+f7mU^vI2EIgOkFuz>8s_6tni2xGmV>Hia78TOcRON7VD)kR-n?sKkW@I$sIJ5>+ z*gdhR%xKzAFXMY1Y@eEtvh|hhmioz|=^=q4JlQ#&n23hjj&qO}9L%uE%4S$z1$i?s zgmT9F;H3{oJhH;-op?18MoiL3O25qfj{~+-176fz^eVh6C~ry0SsL>3h)^umA9XBo z?$9WrImh7FaPG;_S~4|2&AL?#we;&KB2spG1!>7g-`$CnIl;v<2m=L{(-)l~R6&RI zio6E13Cd5cqL`7_cEjGEvvjC_JsBsJ4vDX%&m_>e_Ufj&Ix0pN#4aKa;|VfHh+y>B z7NE2-?M~p+e=;op>z9;#a1LjTvDFdGFaF@hM`@GI_ zp&1f`9qXYh0_HetO(UXtvFtwLPNoyf?t9PPLSPl~<`u5vNTbyh#a#yJ%?0^klW$?^ngFvA9}b`m(j>VYC-r zPb$9mrwRkOo#xGP4wK3vk$zGy>eN~EuXKN z1hxk)u=bo$?4Rv#dWZSETsn>obLc07NH<;Jg`@QdK#)-lvn6nCjRK1V$OWG6{O#{O zMOR(DTszv94+Z-%I7X;Ooe8&1Are}$b-mXoc}-inSJkCOZq~b6YK_13Unh@O)GzJ4 zxdU^3Ftj}&u``NrD%$7lK~6Jiw!L1B$%?@T0$t@QehJe%*;78Kuc^eMLr2}`Tpqni znJP^wiNZt}4{I_kvM6ZTe0>ko$tC*Wp=KRmXOc&Hel=+dEI$){$TK|+VK9ZcAY#-L zE_QgzCz@?kDcsm!o) zNKSa5J*ETNa2!y1A7dEm`#*{t*=p^rC)f4h{kg z+@Ijt4NXMTHS3>5NlU0rKS}A0v$oq`%@luh7?vcYRYl3roe2^aP)4BnNcnUKR>ODJ zsAJ<(6NV^`{A}=f>_GM0_90UfwrFALfBRuKi-*@V>rpouBl{3Pz=FhhBAx8w1rSfwq4-|brU~lgon`zX7~;LK>*;zjA5E?6=rZV z=bZ>&n{WKYk`!&alU_zB4Dh8*_8=bh+dlMc=&CwK8Db7FXn1th%IymiFq6|IPQ%in z0sN9jRhMs?YWN_XS9G|c6w@g(_axen!wju&Yl->V^i4es^xFOwYIX6AZgjXSt$&+<#)_rK zBfuy+C;{B=e$4^V4$E?J^3rFTv`Uxf3xJEZ81mp9?~MuH&86(`evTV&Xvxx%J(g2^ zM`!yZkIIi8taY)1L(=f`KiIqpizi=~7S|46fTL!BZp;OP;5jp*Tfy2!)$+l;X(5fV zU$Fe`uFNm}+F%;LkgMBuqT3i7lDQP>H`ZGioiawm^B#a~or=@2X~gi*TI$XtDNg zM&?U=+HhM6S_r*!nim;+{2J4fTT;`T?E)2YrS5O$41SJ~ROztl{>D7+^=)XlDLGE0 zr>-UxClj$+xKL>T0HG{4R#cKd#4_2m-G6ZLGk1rPxw)EOqqO9Soo!Q7NeRurU$nL2 zFr=&5;%Z^Zo@1jl{Y3~v`lhAf;EIS=V8fzM z7w4*%t~}cK$n~@apL2L8}+l+~o zfl|Gzs{nS+H>-yZrDenmN62RX#(lgxsb*m2OY6*@ULS(=E@u81pq{(6qAe2Rg*k4 zgM36q{9rpU)cb?&hK4OMsSlD?A5@^$;iB-_Kw-O#ym0d~moliWo`x=Gu4&u4rX*fgaPwxkG2&+;wP&yzGRU?^>gS$05a z({OQuH{1r}01Gx`aK|o zK{>L_2AZ38WNg*(tgv_Ded+=dDN;6J#dbCrJ&p1z)^VAnkg@Wf7Bd3ANj~Y7vxMxe zBgir~0xsiYcx7Pox}#&zCi*um#`sWig+-!z>9;iSY0j89xfton_&TyP_jxf@=+7#x zG3dwi1OKk(eCg!aY!OnF-I7NdD*0XlQv|&0?^U+AF0L?Es|H=O_y!kUVY!NZXpVZr zGBX3i%hJw#^L1~SnN=`5WuI}x|)J+PZ{zE$a#zbA(B5p1kLYeSy?* z1E_0oc+#8qZ5`aTR_ejLI@-@6=dkL2;AIvqNI;gPr~OrkrQz8~qi+8JPcL7u1sGoW zqUM)Q3uNH-%$*GXVgn=0c-1Yyq+q=t$fVu0xgck)ZKsfzS_O1$>+H%hGEL8~ccaq{ zc$G4e9TQo&v`<*TKL-)Qo?AVh*<;fxGs7$luiN6}$1@wI=c&mY_1I?xMLy5wpru*| zV0?BfIrWcg3o=`^t|EB($j?D1i9Y&)LDy5fzE3Y09}a{Diriqnn5ePGhvpwCz6RLq zTxSupvh4G~`>%hniB6w#K5?fgjM0x)TU+=0mzZnH>(rz0nyg3Emsui&IG=kv^y-yJes-#NDkM7Q_k1&O=Dfw- z0Sn|UQ1ITJsp8YYS~$DGTu_3H1;#W0-3_>xV(Qx-90#Ag7VI#0e>EwrC5!H6LF1Y= z&)eidICwgS9rx=xHk|fhkw?!lsRRhKABlxcjj^=%>Dro!d^M9^#bQ)~quqnRlj~=< z5<@{5Q65A;sI;`PoJh>(IMlQ6+T+?Wgxfd9;D|pSxSoMvHtlBt0A49sk}ifqSt33R z0%o{#aNVR54NjSVJfX6&?N?VYd}uzf@YCLfh~CdZoGW$^dm9E>cY74#pc9VG(n;-T zeuFc|m<|LiMz}oQ=&W%RYu#Eq@B2VR91XM_*$b<|PSo2wF8zX))Tb3gzxnP(1R`6@ z8dBkCCVpO(ChulR?@~pW8S^tU!#|vv0*Afnl*>rCX_5Y_Kmwvhe^B4uwS;=dBBx(D z<4OoVQ;63S=;Er;%tGVAy`b7mx8!Ne;Tu9Kne2i*AHGKaP)k-$8XkAU}5b|QVt zU3}`X_?uO$^|R$K-5z}N;I(P)19+6kmB_iOck3E{&C4Sf!<1Ka{dLqAkc9LP&N#QQ zWIbGwos124z(rmTdE8OOyvZ(1o_ddb5$hOyuU_Tk+YHRa%d8@uG`>rL1JbX{yMWxm z>FSP3l@#P13cPA4^eXUjkm_C_niEr@gzC+bk7jC+ZJ2H$=2D$f^oJi@KWsWl#H@8a zk^S2(8T+9Q9O@F~{kH=X!3di;4Hx72y*jaMYxSlF^3-3(+m9LywF8=iR|WhK@*?h6 zzE=D^3K6p4@kIpWI5e~6ymF*9*RRg{4#Z>_m%VLZ`D$_ulXXvYof+hi^=XRnPVy!H z_;>zRxjuZotMk2wzwNKB`xru|r+5P-^;m4wXaE|jj1V<32>*b*UKLHD)8Zi=nUrLAv>|D~7z2iuriIoAW@mR<|Se4EeW)hh{g>Efm# zcV05o9tK!!Mxy}DpD`Mh!^{S>DpDNV;ZjF!a+Oe+PTD~uf2v{m((Ecr7tH!Yry;pt zTX2%g!LIItTtZB!m9m(jaczvEiIg%xJs57`_(X$cb7kWuzTp~=v2&OfAvPD|m6GK= zMn^m)_=sqI$vW{tiW^*aobYYn@jD9?^9QRxl$I@j!^NdLMbfLcol85vS|`&sNuSuohy_bE1&bh zNXM1f*n8$f{rdTKfPCJG8K8hBAz~8cxm_o;ImH^dxDQtv$1d)mUA&8yuNFlf(o_ z*}2`@zFjrCn(QpKwfxvOx%lYu^ma$JD`u_kODZxfZWsv+l7B|rW9b6yb`NF*Ygi~H z=Xe;jnz*D5>5EgpZ@O-4JGa(2#I3GE*k!J>l)h75U5TT`{k#*mCRpKX&|b*% z&4mnq9^S4k?b2|4a5?sD@k_Z8SN=Px*oTOQRvN%+;Dm7P%jwg|IFnq1nVzPhAhE{f zedeMI3n8%S>sHq;O2+G&kT$-1&XTm?r=_dd*WwsY_u3MVtHzGTh`H$%z=y33@<9|- zka?67)=k4?)@f9Fx1GVvA*LIgE(2&%SEiX(uWhT&@{j2*v)Zvm@1 ztH{DyBSKl7Sw+?ii@^qBX_38cpM*e~v{Xl7CE=su{r_N&`RA88LOPOefT(tULo=8~ z)r`6KwK5F`iBT@<6C+7t5>YvonCn$Z#R`*lQu+XSg(@r8d*!v_Q{O@Z!sKdP_Bbo3j^W+#UOs|YHa%!vY|bOxoMsU#>WMIU0Koza1p?e< zThq*2LH}ZtWn+{7{tu!5A5QL)bw0A4iwTAuU$iHR?~0_l6b;oT6o0ZC2G6$jwy!h> zboqt*hTDu-#I_3$MHJFN=~>p`6zdM72c4ozfE&Io0t&DIQ{KVW>LLF=VM_wO&k*<~ zK`hqEXj5gp%@_wqx(peOEW>2Fdz$eaDe#HK!l{YGqkC2nXI|TSDkjS=>ET1dLl}LS zlVmB$Qv(c538;rzS^#HVzMXhE)mYj$xIb)0LaxMHGe)B%4abbPQ8Y$q8uiC4vWw;9 z-udl@iD5er8RG0y7m(;>*z{%&1L8*L^QIA((Zr(}TiQJ!E~LZ@-ciI*-ZptaH8izE zpnP!`WH(ijnWaFa^lrMMhw0TP!RZ>he$wYgN0lde+$_V@DO1rSK_{3Z04OWlW4Pi? z)UC?d6u%uiLW#asZ!KH4T2)6gZ_Jjndkof7CJIse!E;kxbyz-lKpIvO+WPCkOx&23 z7-=`+yDd3Eo;NgF|3ofN`7qup^5++oL`Tv=cXw?PGD=INa%?lbt{!?aPTl1s;Un!E z_CfFw9nXf{?(N94gXwI;&@iAEbOgqL+K8hfHG?($v-+B(ef3M!N=oCu!|-yjWhTwb zRS&^&*(zG&iCKbWgV>PB$N%WjpSrU5ev;5XNTEQ4vG!)<8rwaaLF66reea3#!pzW? zN82aw<+(Q#enB^A8LSaKA!$vGj|R*#1`OXaoR{{(;rILVjX$47@UmM{EH{vq7$s8v zv1gpjR^;uCP+d`sg`#aT6r6x&8YoqMtXj;lj`R&=d!VWk9!W%l(459l#?U+~lv45Q6AdT!X0a0_cbAxyZ+0M&l%6FQn z9(PXWuI~axq&}I42|g&QL`BJ4s)%SrYA=|6zaX7`JfkWGy!Y0kG9~?*WrdLfQr9s< zoT`EEfa#3lnP*`E8fFL^>2O9S#X4EFRK()(n~j1Q)2o@Y`4*X(?fJG|qGsszg`v1;X&)9H`jDu z#Ea;B3CyBNX_AR^hVGaz%VxlIUXgvoN+LP$FtS`NEU*h1f#SjhH9&Zqe3Ok11z*Ng zgFJpqD@dgk%}nH(w;+2-jeWB%=`Z=g_G=1be9IUo(}}YT+>07vT_0>1RPzE9BaGuJ z=-BYo9(P?9UW(Xq$F`@*opbZ;iOr+T$?>jOLLz@#HX1UvII(A97H@4LpLLtVY@kJP zZ|)PHjX2UZ&z&dq#Rmf=S-g}%q5yQynLBzjQWUK8*MPb3CP}5LadJWyW20VSGq1ER z8?mkr$N?_*{$P8I?7y8L7&z}p;VMmM$T=I8ae~@=SEWgnB;D#NQS1;C?mOViJQQ{| zK_T!|WwlLNfI?NgL!uJVVe8!B|2ZPNnk%YX3Kl0RgAEw59-2)?29)~tIh&fc>zl(f zR#799BgCilNedDSp;4h(mB)uyM|g{=!)PAuqzdeO!&{fSjg$Qfr<*l9fsLyY6PbTp z7B-3RG^x-_+nGGcFD+`Z^ui9c`}|gdev<%6ri|EGNam2amTf?G2KIL5De5!1pCnfl%0Ir_gp&^nqw;8DJlVw|TB zTMrA;8?dEy0Ll|wFEVPvS$oGjH>LR<<*`55o>$&yW3$we7(qDlglpHu+}l{5(FzSf zgrB^Zb+X-yQP<@Q6E;vZRk>^pzveij-qqT8GX3RW3A6T{RQo7`2@bad3F8z!71XJM<&)A<=P3K zM8FjCF+8mXff90U8F6(NccP{nz{7GHVXc1Gu&nmowwP!Z%1S!R0&DFaxD|j}D6+Hf z&%3qi_ZonlPO zTEyr@YZ{RX$Lq1Ccg;Mc71Qq}O?EZ1AmUMpX#85v^KUlZsrRc!73RIOF%}y}kTPyw zW<>D8mXT_2_JG{0o8Fu5R?F~Q%z^;a7Y)1QS<*OCH0i3k3ESGTa)>dw-y5(#1wyEO zTeiPsiV^G8s0-q-D?2cf?w&c6?W$vCA=QadaE*KKws3~>)k;Bht; z_LFN4GZPu|lqyTxH|ABuBBN==hT`0>$3s9|&?Ls`wy7Y=&g`>!%yxSa^8M7I={ZTI#$JJy5dd|De!ufjJ-pwxYJrQ^@71&e_K z+G%o1C>f}X)t!96WCn&iI_b-Whlbzh8k@GE_MbYnp9PIPB`q!l4y03Jwrzozh+56- zqaX>Wu?J6JH4HCF%=I$h$kH&MW(70KN){0AAanmMmYXjW-UM^;17w=J6Osy^HOhOI ziQ0Ym?f*Ki{%b#;@5(an-w6K_Qa>P}IM>y?@W&zBsZ+s&RRQ((n2EO3G-izrtWV3= zz?>m|a)etpY4oK#z?kw3W#LEU8nl_o54Njm*9I659TZE$lde9&#GKqMHbYNQIar&w zO2FaiG`E)~4F;m=*(ezIhI!t(J+`wx({zop4ofaqfM+&rvD9-PfOi$!28x2ZxVw@| z@kr5naF}U?Bg)V8OQSfKY+;f|1 zoe2zJfrWP^jT*2(*wS>R5#RPt;|=redyXjq%9wRYo?E{URXl8tXI8$C3CDf~i_TevRo}3Uo2A-vby);rIPY5RARTDmu zF)?J_!M#%&Z72PaDJzrqKEru%zvRfK-7FjbQ4iC*)}7DS9{8>`mXE{ipzrr&idbno zPxflp8Y`tn=j)rv^3HG5Bz%5KcYxo>F>Uo07o-l=ExR{NE@jv^U4`}FscN$F$5Xj| zlk0C{MtyM7jdg$&46nrO$fm84D@Hyf=aWk&6%!*bI6m`r*t1jsHiu_A)>RG-Q`T4o zY8i>z=z^Y$r5;0@Vkc=V3&j&<^WgnNk8+m(+mDxD0#ImH_ds!Dr!^9xf#d?7PEv||^;Sr*;7)W*<9}KPB?Dj!hL6ZDpS?as4`A^R7 zfAU| zv<3t!p(0g#pz`%BD|T+LrP~5FY?0E5I_$V{SCl_EIFomy%4CP$(~J$;+gfN*$UPKM z^xlA=jXeAAWhfx(RPx7lQGC#uEo~X^H!&k0^I6ZLpf%SJaXiYOEYGpVFt?YekyW?t z2WKD;?8XkB|GX3-^HL^S6&SP-kMPq~T)BMcr<{|bExm5|41_i<*bR*7HB%LG__VVp zF*ro}uFL6~zzn^}e^kefJg(|qJO4IO>?k9yt;?9jo)bAR!Id}l?ogZ-F&_lm*K!rD zc9+D5O3!PS3LB^XADl#DE7^Fym9SioaUh880*%s7M8(3p|fdiyd4!6 zUR`~-WSO~X){Kf&fOVg`OT==NgVAdJmx|LIz&h_ZbOfqEh&+=o-Gx$j*H%< zsq$_SjtGX=itUDwmNGpfC?H)va`klA=_U)x~JI8VBGj)NJA4SrXdJBOEfNMLMoh}ac09;lZMvS>n({E}+K z78)|gO#g+sJLI+3RA%=n=Ti>o4hMM+A2S+EUzM!z6)MtvDZcdXx~3tPAF3roz#2d^ zq$v;)-cGZG;mC7;TQOs-^6f45;mYl=$T#kBFFB zklzK3-MXD5AeVB<+(blp=2W2x0`pEp(2kVJ^WA^nBBYpN5r^#kRo#> zEpVsV86NP35pp?O+P8ESLCa$84pU;w<1eY_-k@g*7CdYIo7s=Sab1HGIoG8+69xQp z_no-8Hy$1SXKUV>Gmgzks9X62<$ybgU~zqhpr1DX(nZ^InHDRWv^xO&zQZiZm-4eReS7-{TlMkOaXy5GGD=GQ1aCWkAFWG4_}eCHFjlCn4u9bW!(T}>FA`OF+*LR;oGg3!d^6OVZHj=Lu__^ zRwSi2GYd#kW|1jZl07F|Vp$&WFs{3ckeU{rm9UE*rZpY5ED}6rS#^NS2Nv~d{R2P1 zYBb8U=E4Rs)a63a4BzLg(3RhXgV`<^cXAfje`f+p@21wa=0a2_<=)pfhiI5u=kkw1^eDN0aU!I^xfuPte(6tOXgAe z8Lft&%9~TTq-tioa2)a5uPw6AtEtp>ZQl@cyuus<$ZwpE<}b}L;<;a}BfVQnA=9cB z7X_%<`FfK(0iOA;))4RHiV-wXZLZVE)nVob8`oCoB$%u#2xhNwF@QWvJ*l*7eLTx< ze%S15s=}qs_5fOTEDmu$aBa%Hwvn_qQ`5(9%JOr3@#{^``C#^-YYuI#>u}6g zZa6!e%MJiuiR*pN9)JseO~{;i=ml$(#7bhN%hN5xOGe<@ny!a^fi+EP^csH@iW%wY z7DTbH;qRYr7I+Uz@IJ=GW#?QtIF&^chk|OSG`4QWg(g)=82}rt{JIYHB5&rf^IWa^ zK9XfU>>U&YSt=Y=N2=b6WL*2@KOczaswVU$OZ4wFe__*t+SpoxAov9mXkq_*cEsFS z`?}mwuf8Gw?)d|Y>Zv2nqBF0E^$#jumMTkcjAy{9#!DZlCX-L1z2rfwd@f;k`{9UH zomI7m~jRMa`&G1ZVy5O1@auB@7Sq?u>TIefP1+5S31x_J3N z?T^!9>t=8nd3Nags7zNsjqBGO<}KvPs;x)Yl7=npdC)dS?YGDo#DpG%f8h4pfV|1SLZjp0A9 z@V|KVj8S1m&x>=>1!D`LF50>#`2sv?XjRiRi|f}5W``Z(<+kqq!Nw-Q?8tK;Pu6c7 zR&IRc;7&H1#T$eGjHOC(oJzy)g&FQj-ejSlY0Yq*tV|Z|k`(x;3S&{?0r!ydGiHp5 zvE4cd|A|&`6QJ82=esd_4KWfpWw*U^a2#Qu_&M0P{5C*obZDpn)aE&+y1UZ<{S-*c z{AE@R%OCeX`TkHzN%&z#^^#tKz-C@kla$tWr(+lPxj*bpb+uiy0?eIfIQT2goy#=_ z!BkG+T~E|26Ypruy)c3`ev+j&3>?oy)2mauw(oB1C$Xg%vpd~jbupj@Taa270S?)Y*3DPVvp*er~P3|8;HN1pK{l6deZvrx&u*YhsObYpU&_`NwL4l1 z9}A8huU?&TZ>YBaROc#=`~Y`4-1YJZB<~ELNQ0L| zqw)?qjszb6JJ7yUXM+0?%57n4q@o#hkLr+Ht+D$7zAaY0Tg$QS#;-AjHefTlS^k9? z>btzwJ#xr5!cVtm8OGq9r-b=k@YeQ93;o?|pD1uAs7wF1{{Y?7C-ZqD&ZX_ROVjH> z-`2=>*t*lztk@ks8z7)vTrvC-Z*CqQ_X{Q}#rTRbG550%9n&7qN)jGvtikX#_--1J z-`>u7ymOFpb(!G&{onQ8|A((Awz+INK|aF6`ii$sy1cppJZHg0j;=$3d^}e{Smh+f z-Kg6!+rSmz(?4tESf4JOPDeEcTjT635~X=;N*Ly8>E_RhZM(aVuD){Wlb@v!xaKW- zy%%|GQfhq>+{)At zRN3CCfq~?3l{nanjTgmi5oW!+eU#NAZl5d|91zw=_e4oL&Fl_kDeUGYy(T_oUVPN4 zGVb_mVP1w+1$syod8B*h>dad~f$7zC<=XUEjY<4i`=z;xn4L3i1Mz}f8_aRr6}&VA zY|K?B4V&RhT{tWQmzK9yktC%@c`bI$9o>hDFroxCTnm@?&Wf@#*nRQ+rY6ChszPwa z$XFdbonAdsW%gLUCr}9e4Ruf_Y{XT1xAJBL`QQ)J`@i7>kpi`F(qs(5|G3Xds&3Y! z6i}!U(90mm_XO|p*&ue`h4q<^*3=>ktUz@+vI`)rf%y`BH#KddJe`*XJJ50ZY~`eo ze-#d-k#lJax8OP!NPdDQl5sfM<777$miUlzlrL*`l+}@SnIkZ8K$K-TiT6qoum89zMX!=^;129t6?TBm9w` z->v@s3jO&S{r%wn!PZeCZExsgP#ZNeGO?1fb$pglIlIC>bU%H| z!jF@@ipa6q?SCur|Lg2@ZScngoR4v|zxxY_{purqm+dkZe$O7yW4xA28Sn{PJ4)yZ@4YFvkb-tFEL4H%}Dq>Db zIU#b{aiSa@hz~jhcSbj>sT;Y6I-})J`rUdWk6c)U_bhIP@uXduNFQ6bwc)YRBRLJm z4>m}rxt)4Qib_-94i+c+5FcO$_b(0L0ole9 z@l40(e|*U0zEIThOv*{Yhu!DRyWOyB@nHtar4n)+bE74mFsW_dw8DJ;8JXhXPCp_l zw>XA#Z=j@o?Jzzz?Inz0obx!L>FZ$?A1L@_sPiTBl@lw{XVEPbaeUGY{Iw!+cF9Az zsoNa?&L}(&4N>Nqf{Zl1f+a?L$6*JDN*N0lqq{a~PpKTL+`?+64)b?%PMR(Ko`#b$ z-HB;)>wJadd#AeAx*R4BCJ_NgHRELuN92l&eB1J}q}P2+0Gz5zX`_x9hkC2bN7Kr} ziKR^Ai+G(NrGW7|k0pb=5 zFKP|;Fv@enb+SOWr+B!ja=;jlMta3@*?>)OPm&l=nAf4-@#$aL*|#HpSr;fBVDZNZ zhW2X|u8v^n->hiW>D~+==QEkPDst)d3|cKx&*d{;-5iPHv|f)fV@YtwV9la$&TcRe(p zrm*EC*m&xcj-TEsL~FHXUl0dI4m z97$>O#yVe-`6l9xn2}HvG@~Nd%c90evMp0+c6KerSpE4G+SM$LL$3hF=;&HqP0MI8 zK?z%GrN?pCUEj$`J{+!dKC%n`B0IWGZYT(3SBZ7i^azHBFiuv~4tjO(t2=V|V=Uj2 zZJJ%gEGTXFx>OZ_zF%FoyCqiey)4qETcwz?Nn%wMW)fryO5HV(Qk7VW%K3Hb zxuK@U3Gq!P=lI4%TGBIfnv${Twb*j9BGxNn^i&eLlQVjWj9w0NP;e^u%5_swBEP%VWy zwr!$Sm}5?S-2bP;uwf+A>FgvZ*qGrX#6K1!c=6fz0-4OSy>i4ra%T}d(p=PC(}k!Z zptjym+oK3X1ysvjS z6MxB&wnIuHqAwoz)i0R6MR^i4lFZG~I#d+hsD@1Ac_gGYjy&}Hbz?ir^9P&th^HNt zN+#e2_(tdeGqjM{1^L-=@<}Hz)Fi3Vt zeFUPLH+|yu%n;VJMiP?G%FI(j^LNmVbozA7;X;uY0-2LUTJ5Vm21G)0+%|H`kF=>S zA*HS+PI#s@ffI=h(n!?XA)8c`g%#O>YO8b4gYX`eW>L2qYp0%{yD!7^yt%R>%h=Vh zWGDG&ouk+xLysr43#ZJn9Xr9m!h0jGsbrOyn6ZgIGI{R}VYPHpY;L%IV_nyh>DLsN z9(qdSO)@QTl3d#|i9>s8LwCmWeH7a4fvCO>0lNxp_686&vIU(8WaF}oqac?f1U$o5 zr_mTo)&^DmQ_%@t*i2wA8Ata9`|DWBdt6R7On6bkbDtaxslvK{GT|Rdc(z0;vr$`_ zW^{|6XzP3vt9teQPDrqNHS%PJcU*Yf^)K{f(Kxe^I8G2h4xo1OQC4|+i(FJ-4I zMyIE*lO3p(Gtao(SD7r3lCnS;YlUc%IvLJS8e8pKvDR7M?I$+p$fYj~mWr*Qi_B2R zch3LHwk|s0>|#!2J-I!C$v#+L1`Ke!m+!^bUp-R<@+mA>%gjJNu1(pc zpBg@si0zPz0d9J6o@K?V!A%_}1}K?NzNN9YA$1Yay~>Dq2JE;h?ff$?0WCY)QSm-B z$X$r4L0D91b%wgPsw7gSYhZN-F&0^pAqX+S1FNXWg5%Qo{H3+0{=fY(tc+K%u8Zz` zkF|DulHsW=hwChH(9lw=eL=!v>E&bj*Q@pYu#~@?d zu($pr=F1Wo+!+#*4up*OpXsMW3))=HhXJw#ez8iB>M*$L_|v;foq^D0;nSU{K4{{L zoI6*0kt@Yzt0{-+Y^5h+GNi`o7!LpNjlpcRK&6Kioj}TKoT(frEBkWsysN&g&68ZA zXKA<3Ccpl~U_0Hi*EC0xRWY5az;@#p8lNLMi0*|*ao@%;2y0m{u)5U@l^0y<2uy{- z)a(`;K|`bUZC{=2S>#z0J-+A-?+ENGC(Eb$c7|mg-5w-npmt#lr;cA{D?cdDH%i^P z2gARERMn+}th!zX%u5vPy~Z1XZDTxayJbU)vu#(&c%EkXWc>6`YZq?iT&nMed(AR3 zfw^A%O(tU$i95{rFH)}+U z-&t*uK40iqhEui37TSY7m@7^8^CR-%;MQ>XhZ`Dlh6#4sM9lwU@4cgvjWlqSn|Lcv)gTZ%b4c(0R_LabaqBt06#^yn`~HUJLArt%X=NNe-Dpf=-m$q;UThtaRvbqTOJIA+?^s33Vm(Q|cl1J7YVw}n8 zZZDD>zkEg}2Nubz^ny7xn$=2WL;tLH{|A2_0dM`GG`q(++i#Cuyn(|756C^F4XwhuY=XReG6;jp`4a+4`br-eO689q4EwrlSBr z{^5Td-S)V_0iU#(-Wj1H76B0zX-pdwP&*d!X=Crzf zRQJ4e?*RVux|w7cRJ6HAmkY0~s`UQ+Rb+nlK*<2Gzqfz;HO>>gsl&LF3Jsn4wj9^0 z#iQis}Fn?Ylb*MG&@@?|C>83(D3c#GGJ_4VQq zsu$i_#U*LZneM;SP0BBr_eRa1H&kkU%@N_~D3?$uU=mlc>{jEbjJ~N`TThwObaGjU z$R8-%a?V?Z=t5O9n?!R|xKA}IZP$~X2K!v&#KGhX`F9KQL1lmm;}Yj-8>`pEnl$a` zs=|UWZ>4lIr#h0z3RrR0LR%B+MoJ(pPY=j0d{dJs04!g zS<14Uifmh`5|(dL@_3BUYx^{~WM((W_E`$ONNw`kaNI*x2=)4)tN~KC(X@InfuMyQ z?YTiNhIdw1PBYpvG%Sp1bDTI-X&mvKQ|{9M9k1tjbK4;B^+u11jK68;tKyS{1%Np$ zS&n3IN}DK418j@jrUHM}1CGKTsBL={n_~F}xjZwSoNtfK_RgIYP;Y7VMEAoUacPK} zv{fg=IK=jmw#G8``AC%!;c?(#Oc_E%HImu=&Rl-lnB>~ML-Ny@v! ze2s`xq4X><4KcTTj#oO1Tb2^62k5GqgJU~F(Z=SZU?$(JNlHEa+LEK(u zQa~>d^>7-UVtFh6FuezA(}_1Ies4dmaO;UST6WaSg4s*jw7RS*@T$71&7{9@Sv-8H z4>#QnX5N)$9N+dQqVcZxyU*3CS2kU2G^us?xVVR_H2Gz9npta_(95K+EyaC>2jFF*y-^rpSRm027CTlJ))+UmjSWXbrMkP%hce{ssUV129B5Ia62O% zquQE+&%jL6N%Mmk949%of1-8^P;H;h)SfWbof=J@t6Lcrq3)7ZVHX2|)sOrf(&#Vi zF7JKD-8Yg(+mhFOM1<*95;S9+9fJ^!!cG&#&s19T0X)1VlWvou3xJHF_QjM`49DVGv0Dwep%<0*N0?+mctid!m;nuOe`>t7Qt2h=r zj>P2khQ1B7_5c87K?g|E%lv1bY@3#Iu&#J;E4yh+w75@wh_y3)d)|0QN3v7#6>Wd9 zq{)XR5BIRdRH*_-+uE~ZNflW}J5>ih&f$9}+rtsqdv9%flAw0)-Cnp=eQwmZ!89C+ z{?@;cqK&T(JU)wpp)&ou6cQ7GmuI7?>}vTc(OFLkgdt(U>AtUxs`=Z`>$UswnN{)n zV~qeTkfaIuoXEj35uZb!ij}NFNmF2mf9vj%9Mk;DPHW3H!i;GrpxOSzQn8ATTkHa+ zs~Dn2NCx$YHF|-o=1N$_Tyo3yoT5=V_f_ zGou(xlEDoijAqgpL1RXMchF%%kBRj%cK(o$2rHD>@r$zyGXlWr3L4=k9R*774QG4Q zuWGiG4O}c1>dCPB4R+QOGS3Qg{u*q$XxC`zb;Ttf+FS1Q_o9OpnCir+yF*SYsCo*L z2GKw3_bxjnDIST`p_hr;X12d4ox`;WQgBF*WeHq&vQ!47G$77u#Gh2v)-pOS7>M5l zN;vaut1;NPm#K!FHDP;6aH)1>37njaolM8=Bp*h=%tu`{o4rXh3LDcz1tQYuDlP|P zd<`ZJS`JG#{0CD8U`wbh@t(8`30Id*085DR%-3ojYbt#;p2nS=?L1c36u?KiebBi% zJqR>Efyj@AVWPebrE!&|UnpD9ffI3!v$Wh9Y!dvVY4E6>R$p$HK^QCHdXc5Cl~o9% z7S12O$m=jS!LqN-@&<>iRTev6s9gCPPCzc5Is=}vJ-og_I_#q(~Ln!cA=8}+s%BQ>g6uEPP5RRpiccgQQ`F~UwF7= z)P<#R&Dv5%f@xe0X3091)e%urta%|6KB{Xo~1WkqGDS? zx6ImRtNPE{{&4{u4MFHXq**6NR}Gdb3JiJ{>t5sh_+sO=pTwa(Eb57t?xI(3dwylx z*Muu;N6zAq3mrXX5MDRdhO_P3b5Qpz(YnSs5LV1C{smg{k81ys;*!nm?dqU>n;XO< zU?#>UqYcB$s&g9Y$tF8Ys-)4$HSzk(6{YkTPh=_%MJblwjmjS?EFAZtrlMSA`8F-) z;^^G&S5D>Q;;qVO+jW=<{pt4&h8OZhhSAr2Y z(K_*O%jsXGZwP8UE%S9KV+RA~L0YiVEsEZCeu;&|9-a86_$UvvGGj!igwv}@V zSJ2I`s=k1II;f|!)VMF*S`%BVPSL`(<<~=gO#cmH1{j>RLa>Z%(`AcXGJCX|>UD{x z#x{Wo;Xy@kj^LST>3;EL-GXeIPV;_D6GK8r1uA%OyZ_s=EhiC-H)reX7xEo;G<-$7 z6yk~T0IO6sRGOu|QvmL%r=y}*ZE{5Heqh5@aP;QxmEFBbqwr)s8z1LXx6!hI%Rw8XT?82vM>$ zVTRfoDilM;@7w(;Ms7~31!SfuhumXouzFFiXp^+;cU)~i0(0O8k&u3o!8R{qnmq1q*>z6%(`B?obaK9xhM(euJTzUni=!$sY1beq?=S1nal zdR|5Jh|7jehN z?I20hS{4=vQYo1QbZj=9nH@BjF85O7w(q?5W%I(@$R=c(FgG+9PqtWiUgH|)Eg$+m z5m3d=KJO#8cz@yLh?I};wD!_50B>I1C@6W3{4H_>_VnnVjN{9fEEf)irO| zaQF36Bujy?tqwEHpYFOPmaCfB&r={$p;kK!1<5iWd*yg!W5!Zcf>eII_WcIVI*kmb znAFKqH8UnTeK##EZ}yw0GDMgU7pHjnAJ#52wL?u&5cdAUyL zUc)=Lv?y>P%^Bdc`r!Rz@qLuK$BO`=pl&DIl(kEJROTtHyI-&*Fhe7tk$2xnIKy08 z`JN7`=|jPxN=@zh@qjDXGhQ?Eacp~OHq}T57vzxxl{OqdP;%x&a+;8rwUatKc3Ic! z%;$yz9&3t++0KASMOn#&PTDz5O>9A0PYUAVlLh`^XNE(}E~WGnbh7^ZwiA zQPI)4R@(TNuE5`p4km7=(eCw?}@`zHPO5cuNxQ8qs3+Y3VG@r%*)zbzp=yi zGa^iCj`qha?A-!2m|1 zl{Is3YFbj@kVAtytZGD>`uBg0`M;KSaPs64L>ae?@;DX^qbn`(eW*wbQVcq!TV~_R zPInwbaXe@uh)?2Sr8?+i`g)k@9NJ#H(ri*FIeqJ*@--?{EjlJu|MHTN7*A$++*J4>Hz5 zZ!|s7PK*i5Wktm{ei^HH4#D5+(Qcf77amf$n}y78?$fH|QWat1Byo1{1ommuJA?d} z-b?!wU!0TSU z`5Y39_U~u)Mexjpx(rBg&T5XDwVU~*M)cmYio4u+vf!-$>iS_Xf9Ru&W~LY+UlTo8 zUwWqz#ohJH!BhLj-wE}IgkZJ`dM(IdP0!+>YaB0@(;Gd1>&(CXUpP%8 zUfT&$%>q8J-ny;(kVHotd0~sSp|&mji`lJvc3iF~kD;P#92++|*I%$$c9S8P>zGn= zZ5v+|N9d!CY3T)BGb8tf{*L^6Ko1T>!A`Qhy=s*%yRz%9bCIN(GZ1bd(gSJtC$;)6 ziQs}3oOke!%S)pIm~o6z1%nNwkECZ3>_kMi>7=pWqA@GNypLR(1}6evRnKyO;3cFs z@VlHHzRqd52`D{@@CDfNGCdAFf+>)n<%(nn4n0iWCA=8(nBZT~Eif8V;B zcT7<1o03mQv%IugCB^tXm!d*xZQE&4m1} zD+WD}7c)(&ONN2KspyIB;CG4(g`GOnKuppLN~)`Kg3FpDTkV(IMx_*@qcOaVo7O7F zKs=D19AarWZ!HaV>nHuyit_uij5zZ! zYFu(;9)(-wm4#{<8dFVAYnv=lHE_zR5o`DpvAs-t1))E2%xzSp3lo0*TdV(XyQ)BQ zlqS%KJy==xzOYKA#m+TEuV1CD86-CA)dQc+JorNS%()o8pQQuMoxN_~LlDS|ROY`) zgG=X{6XE5J2ONka_QFqRg?ru4;PRXkAxd10IXYJH>5J}V3jK%R06Yq!WaxMB?*ps! z%<_5BvE`UbqV_<(;!)T1w}|=R;e3`TMb$cu)n%m>tlHG{%$|nZuPT@p!taWnUE46p zJhE_>s#39aic+pdbTr8_>e*gha*>tRibLu>ui)0uiGMH>O>{bW>b4hhcSF}_bm?*- zJHM#t067%kB?YV{0>+jMCf~;?tCFc|CPLvG(fa^qri`rAq7xg1jFgT?^a|3=4}RQ} z+=P8n^^Y3=&rg|txE_?tinJcnD+uPw$V{q^zBoy~U`A~t!w(1Bncw~QE%2S5F`n$q zUTNhN7!cC3=aSPN{|6B+nSm=(d&qa&*muq6~D(#=bECbT>?jQ6|n>Ad0gmmY8vie|vw9Sa+trMhwW?nUcE5z-g#tEKCY(#(|Gi?9)?7Ertuc-&%&lKmB4umf6Ayz6Le!Sh_f$Nf&)J5esYl4w2Zx%*@g1l z zjitUY3AJI)Y>71Vy}v)g{?7OB3GTl|gZbBt|9!s>;_%5gRLh{+v9reM(He{BV_`aZ zAbyp#qk3ntHSd^4r5@Mpi0Clp_g(nwnufWIT`#wrIwhONLqH_k{9(g`wV?#RF4<3R zZYIc4?d?pmV$hjAiV3!7>?|+5>IU)Af?D%>v9O#Nm@6nYp|>1&d7OB6d5TO;8@c>N zIN1kk;)VJ$epaPS&Eoy#eu0B~XCExWi+#G+HaVm=kb6HPI#Irj|r0vnKP*$?_QF1#M8Wpg^x1ArlQ+h`GcpEyJ9;iI#0R2I|^ zdN@+dU641u-1i0ZwB;CxFd>XsANOpLJg<1g17p}b1vWcWNOVC4Gbbs_IAc&Z`ylZl^905oKQ8OYYeugiMN&`FBn8^1zDT4dV9tAub3^QxIQ+Y*T0E_b(&!e) zn&d0kJv}3x^=>ceY@?6KD`S$W(0Z&K`Ex0%@Mbydk(8kJ{9(8c-xb2J38B@|r#Ewt&tQ=Nf`-uu+fx9(0qU!=Le;&CQRQ$1FFT#aNBme;{kp%P4hIq zph$E*m^0Si-Ilsr|M`4SY!KheZ6{8XN$2UIZJVt&dp*yELyEA&*rR6(INwKVr)12Z zH=+B4A9g}bA zWIEzr;Y0M+GQ|CJamfhuYX7M;>}S&=&&5SGd+TrB++1h1a*cPidB*N3OMYCxvKYh? zB{ayFE{c|IiFfX(eevBz)WZK^^Hw%r(R8 zHN(3C*zi3IYbaztt*GUz_?(d$+^E@eUX5y|f==0qVueyh*hjNerghPl^1TCwNDc9h zj`bbZ0mcpE!XDe^xU2%r*0B=ROePnj0zVFqV5nF>1+RI|_e~s%iwHt-L}N0%#Wzky zG&7BF{^%p(V|{8yL~0xLNnA*ny;!nW>opG8KdXN`ynKmW>##%>hyh!g{xOIwQH{q3 zLn+y6Zory{WRu;kor632QGgeUa7r3}RBPnQUUlkiTKE)n5a5 zyhPaKuA!Ija~U8W`g~HUn@Y|pb*gjze~TXeBMso(8S%QuoT$Rw#2oq`(*6IL|DVvn z&Fcvp=a(Ly?lzWA_`sEml|8;FBR}JxR9c#m4-9qmv~dSgM@vr|B^zs(mo|)i&uyG- zJ?$7pg#^VJWo7aIUfMTvB+EF4S;akk4x^8*u?WHj<33u;o|s*wxH;Uc*UV^eFYZe2 zhqMrr&|_&0y|b#K3PZxO^pa>k?w|d9J$x%W{e2-Jt_O0k^ZM&EOxpG3a7bcSJN9?| zHTJ;e`siftKwEow8Ngtuva2X51p49WnUG}zJZeNEjWIZWCx(pOf ztAsq2t4+&6gEo)ZLQ=0sy~P&+FQI~Fj@eNpv2h)-nj@RZ^`kJC_TcmN<{*!uCG^tu z##Cj<<-$YAp}=Il`Sle`)cQd}d&^}FqU{nnRe603OHU0XYMc%s4QazZ{xSB_R?#23 zu(^FN?fWm+Yrm4;tLq1ccJ_z~z@x{M;cT%|zjFq+(?N*i!rc~&9dQOdlMNpHBKmx4 z=uIzgYC)Ct;1^ywI=jP8*;8a$&;+ZtBF9t9xvz}aWGA8ix?vsfEfB3-`OSVqa=Z2O zoBZFkKV1#KP(P~E=}+6Cj_t`8t2%!m;=M&JYDzso3k@&v8sxf^e6@I*;^6T!U<_;> zlT^qp%NN^EhMPR=gMO0xZf$CLwdyxY>Ca1mp?yN7C@syDxi*z$0WJ#A+-Z!5GRkG$&FO*-7mYO(Nc^#T0t7RvmeCau&4Ink|f6NAcaYQxgqPYAV*Q1pwO954AAZ$fU` z3Ph&_nUVd7EO*=O;u>&AX@l-*iXgT>B{j}RHZ9jdqkDwJ(YJ+J;_RZbtTS5Jo;P!$ zQbtuXR<}eCxlK@d!oE49WaGv|b8Z_NcU2ml?l`NDb}5zRuXr4cf>vNYs#i7!TcI2( za>i@XRpCJ`^gtlaRH->;vU4;S9!*u$Bpq){W_!Z=&<&+ zh`A(tT#(eQ#llyxXDS-cAQAoJLXHAaeW6j`L(hU58_ar)R%m z=Y4U*1(EXPNyLVpPEP4|4?$mszGcrGX&g6hRXfE2aJ63^xT1nW`TEaVXhH>f$Av!T zy?>PHY4`d;e`HnM!OBT64Lt@DA+RqmPp+ZH0hP70Ac-f;V=m+4u-gLID+xSgqsN%3 z{0xL93lzoZp-Kn{x))VCzEtNMeEm`-L%h61+@DET)qF(stlOQY`IMkLgoOq=TEb~{ z8fz_-svO`%Yc8%PI-{&aTObVsv&(u}knkqdfvA|oyrMyOyvFKALo!5Vl!tDc3p2I7 z{?@>+Lw!fd+4Ppcp3ZjpLAU{A9W& zW8&VR`o&DF%51~0^j9mk7X0z*L9eDo)boY;y%icOUP(uiB!5tfVJT8@9kh^Ud5mmY z(FFhwxji&1(`?49GtYZV(IqNNlHyNDc95ePbjG6uWO&`)ke8;9hCT;Q1{fOgZ7S+c zwA%7tC5ZyHNIW1)LUnnT%WyO@Uk*o zJn4G{(_f|(SqRp&);1N@j&ZeW=pU%c)&I@%IqF{ICRO7{b@xwYOrZi4nquG$dUJ*# zC;>I2@6(%n@n6lqE`(}?Y8H8*cA%}pcv(It1ce`sDiOWzgd`S@Vr2elvp(mq1pQ2H zfAhJnf1`*_Fx6|2>|{H)r|Q*|v>>^?8BqD6W*}b1dh;9qj~Z&sMPcEB&TBD#kQ{}k zk^uYmxWHcKwW^NODlY3gqWZKxUv$%g#K;X$*v+>D%1%K|Z&!+_1HKnJyTDi8Pe*9$ zSfGAOeg3qY5JdQeS^X(3=()uAHoWl%@Iu_1gJ%pII+y%?+2@}hCtg&~nC{C5;4O~Z z$GHr-FOc#FyQtyriw2pgr{oQP|Miv8{i=p%XB=og&8F{zdy_%!N$~9(G!+r~pQd}= zr;0Og&ZWN*N}2Kg7$H+mMm%=ec_m3Z^qfQGjgLrgO?FUV^;4q0&U;cOw^nJ1qTcs| zR>o^_Ck+s^a0dRFXBgiL%n_;-R^UocEpD5aY-8%gem<1nbo1SvsOfI!o`Ftp$B5{? zR`cgA1AY!xRzByv?{y1?!E)!fCx`u4qzdKqXe_DmZ%wn%S-KuCUU~AvY+8Q%hiU4{ z+D$ar39Y`hbU5pw%#dUHbqQLN60oZ@9P+@BZM|m=VP5n^Y5qkBGkWNJuEmM_H8Rea!7hOZv$-2NDr= zR8k&-vW3|XRQ9WGhVA?Bu)T_gtwfM2y1fkO_Uy+a_|9muRxXrk>JCh5HtF`yvqmU zvPMTU!xWmsr@%;^uvh;QKLvdUYOf*Ww~>%D!n{G`Q=XB&bv?H^RE>iORX+qVwBWw- zbDC?@YC#d$-N9SzXnV~9R{ym_dZv8yd5|EFP5xRgr!}tnpfEq^wAZzuZch)_4 z^jF~zyS^tX4xwhakWcCbr;R~+8xw`@%kC+FU`oZS>CvMO{w=TFE<`IAKcBnR--_|o z6p5Z<`sm*9QlD$OO7{ClS=pz)m25ut5D^DKe$X`{o2}F1(?^oUuJb9M>J*cy^y+k{ z@YT!TUvH+6Dt~fnAH3j3Mo!$$3Z(`qP1sNi1Wf5fdsvWo&}zMnBZr`YIcR}>)E`w8 zSwvk!97`_(v1iwh;t(!$$;mFr4b0vap>h+tu+@jx-2X}?KQAKjh{j=ZK zJSRRRswa^O7|xNt7*n;eAN;)&>uC z;GO?qvw=z}-k-jOW5Hk1e?T?d=t|FJLDv`Lt5nd+w87d|!>~|RuA?3x&3`w<{6Kd_ zPej+=B2YEGpbNBKkcZUM76W=|{QdJX7_=$uwLtqT_kxfWtrxtal+?kPJp21V?(Soz zzHZ*68+a#Vt7Ag%WQHUb6Q-Z#I5%`1;j0|o39gLiLAE;Ka2jGKpU;&s+)_?j;qog1;wV4lz=%fvPwvhN0;c3r>{LCoORRM zUUaQ{ZJknkUaR*p-XgL2^ZmHy@cROe*xTw-!PD|vT_3aGt4NBehckd;t&_0fPD`R{ zUq8w|k(mk`6ayfqzAkX4eIx|tirBr5SB))rkyYxv?smoNzLJGgYBgyV4!R<~4}nK_ zOqCFikk?QmPEm&B4Q4EDAObCteDc z{(9CBn|`P4LmsL4CyHtU_#Dx4maH(nH0q2GzL2+`LEF$$N+L+XdcUCWya_uoMja`fg?Nwvxdz?3J z4z-1nR3h8xuOE%t4M9Oy%u4D~BK+(jM_dFCd<1x`ux~TJG#HvYwa%cyTKY;L=D#wKjLU3fu&;aZ)VXQS5B*<1fTNCSp|Ld-1aez2)>+=XwS#- z+EAbZ%`XFI55!a6fpP>(5g;aA$kJV(6f!IR_+tbchcf!)>381`JL2zsnc&W(Z}%OF3;S}4q?>K& zo}UK1^v#_kGfhKF$gsejUyAeJjW8$T6n0nRvkHWCG28-~@bIg`|S$W_~0c+p{?B>D@m+FV1?6u(j@7USP@jzzri zxK|siak0gwOZ#v>!vrUL{F5tYcI)B}x%&b&e`GbXqB2or)Q8t;L@ShwdK3drzI2SgrV3CJSQXK21eNfqu=2^$ULEt^3%)n>iw2IrwY`(bhW9 z=%^4CPq+B!ht7P3b7Azbft%(#M(mrB*zL62=L#$QZ%DdhO33b#9H{hgQU;LUxdDvn z@|7nzRxRo0*+hyi2j@jTdc;&qhtvv;$?+z49vnE`(ryA#c_y9XwCZ2#t{6Q<<8 zLZJG$40O-0mlKCmG1L+eB zFOTfg>ezgfh2dHzyv5c9_0n*YA>3GPNG#u8daO^X8g~pRHs3y{Xus*klu*2SbfVff*s ztK=@jrQ=iM_(qY%61C}Cxv-yMr#GT56%`jA=qvt+dHSn#E{9w0eDQRIdzU9KjnhZ8 zlF;^WZ86=N;9T4xB4$u5&4Ew_^{(uJV1K<9f9{3Ur_qE{88Udkv-wg!%qqawzr>$M z!yNfihIO@U+>PD1;Ol~S5}z$HzH>bB;$-#v@lK>0cky&h?F&0)VE{&>a_|eoK7QH{ z$G~(ypF{oqvbcDeh-#J`vf=U>w$S}wE?`m2c+)=M&pZ08JuBYjOM@J}f1a4LF0Mc%C>n9}+yyfAfQYU;b)|rgUMwdL zgsrL)An4p@3UrcadOU)B>U>ROMN-i^ZNcL{#S!E8`$e5H{L{v(`S&$8J(iB^9I|hO zEz=JsgjT2ohSEu{Zod%eYh{1drbk1sPxlbN?InR!$ISj);ra+u^Ukg6_q2VFf9ULS zzt7I+8&_|l9Y~jntXfGd=BuiTWqf|G_@q_w?qZUf+4V+VQy3nJjk-v&VGqw|`ADzB z)FbZ5A-_RFdeX4{UKUcTy^Oad$s#u+s|&>ty>1eLkHR=|gDoDt9Gua^dlaj|tIw)a z36f$Yu~wIhHY{H~VO01LvlL)Q5zCHhGa|p?Lrx(8V$dVi60G}eONSFt1)FPTDH(tU zR@;);ES9g~nKu>;0`JYvDaR!V^~fz!?F~=)+6wO8D8{cAE%P1kk(t#tgSn0zriSig zy1rDqz@>rFo8Q}B#xv%Li~-I359cB;9QO(6A@T zBAn1LKu_xhq;L3Tht!yES(Oc`Fx@)0fYwebKT~7+Y7tnJ3J&cY&r1Y{$|uJ`Xn-dl zaf+~i{KrJ_eFdgCoVWY$jA(F)Y+}Gb7FjVT^cE;q4n2I=mED+pcum5}T<{qQCsf#R zKkEbdm;m6WeY^SzkpCLw;5mF(zL3*J29W&jB3UaScOOj(AX{PBpBzxm8jSRY=4jC$ z*;opa{@IKwFk2kSlId;W*72=B?1)DIb|7P&;k&?l0uUeAhRjU_50YvR+sWG%kXrE1 zz5rU1>o}wgWOcVo{$E8@rO`zQ<9myL^=%n_5#wEBsTdU!0+YH6d%QrSSirRkhBQDA?T)6h2D}#=J|8Ys`Xcq z5O$!*i@IOKcOS794ABD(QoM@$^lQ&nnd#Oi&iA+TQo(n>8{`9O5frF&i&*<-4+7!< zJ!rY{9`YZkRbcc_fxs`q2W)^+{&Sry&@>F_*aDji3=GAIy!U_8bnl1458!BF0%WHu z)Kh`x-5n*KIEZqmDJt%00BuiNG5n<;|KyJ1IOW!9t!)sx}1U``vnymNl=81;X z^2vtzr5QQ@DlDbM_NVY(Xc6omg*%`iSsj{n6#o;vMMZ=#;A7q(q%*9X^Ul(8t>A+! zVdvZ2_uvg-0^%_6AF63;duV}1=bi;H0G@a9yF{q|%U$+@50ZqPf3#{%^X{Hl=KFWJ ziiP+~1;PZNzmy7y*r4e7mx#oXMt_Nj3#c{oouxuc_8;Ouqw|kw4@pw~Y8QQ8(%;&J z{%5=9g$Woq}lid>A@%t z+|**^Q@42uwN!BNWi$qU^D~MH2r`OE2r`;7^1ZNh2M8I3{#Z`{LM3;mPgg}aNjwhbVJ zF~CL%%*dx>8F0lVuvijP!asx2k_sBVUXiAmD!|HP+;x3fd$7!tz=k4|&ayIWoi6)Ku-Q z(Pajwo+-tJqD5La&{5dPlutlh$&CcLcG~#s$-c9roRI5-c5_}i!-)O!JJ)B(wCl4p z__ajvq!aS6J?MOHE)G>^c6Gkzd49MmC)dzxX!8 zkMxf_ug=*p(z|?e=cxVFrubaiv|6oHqrQfLK{;-RYdw{aM=jW8#=y&y<AUTA7wa=pXF~(Cj>c6q~)?rx%UEe5O(&bG^cS(15NOwuAbW4gf zh_rx8N_R?kr+{>$w1|i_h`=`+pXWVqeb0H%xxVZCb@YPGJ+t=A+H3vhx7OUVXK(#c z_QB#Ou$F4JYb`}HwfKN%mDWF6d>%)5(k7=oZ=TO zc=fsV`I2b#26(Q%RC?y87Y#U5^Kl-bYE-4EO-tuC^PkZ&sK&%=ADK#&Z?wlNtKk+h zj?6wlEpmPE4YZ`jxAz&#?`3)))FCYUdaKNZLikczW&dije5&?C#?O?s6PJf8&(jy5 z3V&!IFHGU~T&ibB+#O=?fu&VbHgo^nL;Ehs6&(x3h?sCy@Lc9j4|w##%RezAvr!$0 zG?DEjr`I{-HGC{lz&)N<%{SX3Ka`QDw$tIBR+LIbp`$X0Asj3YFHQ&+r0oSo>6tEk zT2!T^dGZ+R(YV^Q$gaNKGm1fFcJZXlic{!S)q*;Sdv+uO+gYT@D?>^vZE7j4Vf?O zSE5e(N1Pm^2Q8{AwL`C#BSLqrSFqaB@XL&)Q^CNVZl)hBMloy%6{HOXMd_L5dnv#c zmMi$c7Bx=juD-$L#=&KFk)A4%Jko>B^u4?2^-kz+B~r8O)LHf`hxNV9L_NFIbxwV* zcGR=wFm{gPVM%b5mx%PdC;Qq{AF0Zz&!t(a+}O3uDA1EN{9``;o*pp9bTiRFNne9g zP@`D8M6l#+Tl#d7#gxH+aT2d8dFccBz}+&UOT}p zP53HUM+NL}rPnpB$Qd0##n&A7Oe-oh~NZ+IygwEiJAm0 zPkskxEJMa^>3~1UOJ&H#53b$9F3-vVtMGj^EG-m5MiQP?DQ=#;aR2OMbnV<|n6YFd zsZH-Z3sSMBd^9`NqZn{DQSb~CZ9g$?fxHAmKe6-cX(sA^JrbQ5d`pKeNjo|#htVCj z0(o?<`e8V`UMmM8DM)}Av+S;j$}S1l>K=+#ZYa!{vx<}+w2fGl5LL}*kENaKrj;8W zVlbpZi)>38A7~D+Tu55*-(q>K?*GEF9-m?iVJ`_aBzY2Ant&iQ!^x)=udbK-pY67h z-uJKUCTPr}Mcd`_J7`AH1$dZrMS-_ibIp!7%hCah@!g!+6FWL;c0?^|oftZRwBiH^ zY14`j()bbq(sJbSC*8cU(6neFtj#DwSR+-6{D?!%mk4}r@XxdSD@gm6@cFbb3nO%5 z2nULR%Pe6EvU&1R7AGM^36ix%nsRVZH5pV5Mj2F%2ddXjLP`?W4eBoJ=y2I_rjZef zCwxf4fyMf{G4EVD!l@Xq4eS_g14HPZX0jp)$LO+4s>YNFqvD$!;jBH70S-*DKtt1q zL?EYvGvO`%ikR~a8-!B>7YBUe#yqT7jKNQ_D8ej}M<3xtLM+zo=EigfKR`#sVm!0( zY????dbS>1$gLxsIw+dCp*FDKplK!xl5lf#K4n1f+<~OUHZan=qRUoH834T$i}?5g z_#vZksbC1)yP*2{Do}+EjKzQIl<+t_`$gzGQX9}ZZiPGvXdO-Kzh08$JJRcSHn4Ta z1V|4J2!G(pAYj?Rw!sf!&o@*p+ICDCIb28^YM8;_3(ApqBMCoaC%U_$u1>q8Dohz0 zVD1r4UI>E6+?ZHt^8ZtV#^L_M*fV#=mb$Yf&k_`{rSLbZl>ALH! zW#{SgY>-$(NgI%aW~eq&aG!?8yQ)M^5jZU4TkFb11Mf*|e&aU}6Z1)BMC z)S5>KX#+ql9FTmfLf>BwQ$mO->6`ZmFhpC_79s z#yYgT2^+8y-W@B^{$-`^J5~Zk5Gz^Su@c%HD}kmFi|}q4)+DL;Xz=RkX}cMyRS^@3 zEZ*EP5(!>?Am?AD^zJmI;v2qTSo>Rs99pU=A6hOQ4`~PuL)vaV2n#e0V59-ta|1f; zE`X`wRFl%n&VwPNC_kUNXAWsliuplav|A5P7SbNVCR7AqfmaU!A+ib?5xSgmFt85M zjH%*D6VR3t@hsjzLn^9D>F>}-GluX7+2)^W?4lX_(Sv~x6o3fc0wVbTd63Mu-BasQpR&;<~KP;&=Qy!tuyUdXMr z$*s~Fp{jr5I=KbeOFYx``t635*f#50EeBSm>T(iheso)sUX1KX2w;jJI+IY-fzW+| zq|$(7ID@-;hf)s+rEDt@O7reeI(dgu4;66ji2$W}SBI|?4(ny_0(tUXAfGIU_0Lo7 z>S5+m$~GhC$ThPfC^lFMu6&p*2RV%-kQC&BstYM~?!x$6r=Vv|lq6_0D|9RPQLuChxCL`|fP7~8X%Q6!_xj;36T8fUsCoI;xzzh?G7L@;%eq>-pJG!nD6Ep#2w?eRr^AzJq1Fu?_imC=LYp^ zi20fO&g?rVo8)sMmgAD*3(D!Zc{c;{LQgi>ek!OvG$sG-w6Npak{EG#NS1imzGP9> z(onJO8-UrE?L!g=ucA1``9utb4cPio%c)i8elq9&m^Wslbk*~xq9`WRiY+`8h}dXT zKcfc%5xeU|Hn_fGNG)a@!dxWZ{gp8IhlJBL?3Y*OnOq$Fz!J^A8fb&N=sBY(3OQ!p z3VIxTCHP?tpTNmm`NQ=!ifS?A7tDpT3KB3Ok!>LWouEwq3>^pz391uWH=LmY8P-z}KbQejD&#RXb$UQ%EN&R1 z988LS2kqdE>0l@gsJ5`91Ib4_NQ3Hh5F$YCd;K@}m5ljGn>to_u4t)w^-sDq|U3#E@xR&YcL1{H+X(gX;tAmBfxlm-=mLP?bXg=&JFKpqWB z8N|Bm=pcm0&~3WUFs-J5=q@OhXO{=^Z`l|^kbnQHJOE@UnzVf`zoljrK@RHAhaXAI zhk>L;d*tX~EFY^X4dw)_0}PN;V?1KZ@1p4DUnYpXBc#p0;2j`_0;^Cx1_)S(ju0;; zC4juI3@QU8HYrrEuA3W5o(44QwM&Zso3A-rE#bR4{Eh$P)ptxleuqlX1H=Te5X}M! zh_~XcASz=SIJ;<04U)7wNgJtlBoP85d{LR(%>n;N(_~+pz)5pL+-u2+qq!*|aw!GR z74R6|SX3j#V?0OEkzmLqJe6H$K_c^!?*-aJ9}v2uMQPWGah*0v@ES0rZmdlK4F7Ew|vvz;)FIacO>#fX1myQa%&cbqSxw2~wU!D6UZ#iR&md5-6X* z2s#LXHAOe_QOdo8J_QHGh*GcXk#r9F^s?g)4vr|rkn+bQX3JxMoEg6BpHrv2U0!V{ zzyDV=E-=dglPoB+p+&ySw5hVn2Cf7Ac3Qqun>mDkGco_WTI z*C0l_&hQ`8(b^xa@DLjRJ?PG;|1&N_<3Un7MNlS>zo`=f(pPc)n03cjq!8_w$VY8V z1MqW2Ut<-6tn-=*$~5hC@FW2;cTsd{`>O5W?ebv#nn<8p@cILQtsZ+jDZ1=yQou=g zuNwDB@C497@?I9126781MgpIJxdU)u6^Kf}o6v{95!>l59J&4tM-6wuvr!if1XRfN zq&D))i*c_3qG+6wrDm9@*NHLnKvZfFqh2q#8?}d##!zYpI)I{LZ#j1)=}@Gx--EkT z?t-W5T|8Zf!cj+4h%<90inBf39aUZ!l1F8!fFPtbt&fe6?7>O$Pd)eYWC5k`1?422eqc5F0cf9 zdr8N$!{%EEf*q2jT1BV_i7_|tWX&O2U6fx=6xR2Uj($SZBh_4p{6IjGtRiKGB0iWa z36CcIznNBQDrtb(j&CHG?W7yU1NP(Me+0k@(&pT&NCvxf$B+3m|J~d_ z3~51GK3-MY2qjOt2#}pN7MK9pCd>s={oAysB)kYP-xcnEni=s(0~Q41L+_t7npG+f zf;WUUYJfE`3x}{a4+tF!-Z4lyaxp9j5MQqR1s;UT1+6>i;sEGc&8(N+&7Pplh{|~A z&W(ZAf87XR2iyp2c z2LuTAP#S#YDQ(UU8>|E$>8Y#k29Vitr;!|PhZ(&}GD zY|Eh@MuIAw}85LYPquNe6RoTg9F+V`|wLUVtrKTCAE9z**E| z;<7+2JyU~k63o><$diI^g*0igTz#egdDdWIiRKIA5Aun?UL^^eg5aBzL``NKHCjL=#UM)M@mG zTPHWPbCC?>;lQ}kAd3dx0nm~*-^T(7q^>$c0pSIpUnC$fAFE4Ys}Fuad~WT zA8a=nNTv2mP^k#S>~Y=7Bri)J@r1Bv*@~k$i{HS1|B1ftan2n>9*6SbXC~D(n=fA} z^IN;0=kmE*A5*eLWS7%(K|Xct<`C`I;jQ4)^%+YCHOxlmVJnjUf&bE1``Nm+j}*th zWa#v@<&k^7T?*nvNdKhWy?mA3uEUaFLXHQUtxd7U{Vgc%O9(sn zlf9K1E02Azq*b;CcAuw3WTxw=VHSp1HTmb-Qnz%!q8@iVz%H_zB2PKIxwka4e6*tE z{O88-bj9S!ekEbvWuTa&pVzcB149Ga)30ZTus<*83u6d!Db0SHHai-}a>RIDT?b?_ z9Y?%ypK&@>|R;jH}d250benX zaa{X9J6nB&C(hOGm(<83rlSzxS)jq_E>!g5*f5}J8Po8!&qqDZbS_`wwQNSzB7%+n z;Iq=bhx-vnHY*%Ydr2He8!!vCmt2JC$DY}@+kBI$7apr0%Obt}-Iy;qdR(>5D!%9T zBWg-K9)0wcg#-MaTkVT)sO$WU@`7?I5B4TU_X7R@^t$}6PH}qAFda)4T750{+~P37 zXxO83Zo&|}27F{cdHZEWsrSPzthcW(uMVACL*51RI*PzAwAe1(pVA`SY*87lOA@54 z`N(ng?R{gg;HWV6o^PRIqyaD2F3%^n zU#sT`XW9`PEY`?0tD;g3?e!mIK2xw>w)(LlW!pq;)9U>M)e3jI6pOLISx@xycgFI! zo=uCXg{(Wj&#zkg=C*Id%+50-G8{}8!zVgy4Vu>k2XeVrX-|m$oQ*o9#vVU;@5|lF z7(P{CCI0-;&gckRutj=dfzJ=@E@_)(s&uDMd#(-(e%!jkTqh6ux5=cBa^76{jF?8w zeLv4on_O2YmjSMHhedfy3-omSnsbfC;!iOkEd4nv%VTlIJ-3i<6_eWhHQg)2-+uB1Qx?!EYC z*|Es6)Y1@bx-jYocaEoao3bxBZ{~=qM<#Ws)KHhqMH5uw@5-UTI#+nK1 z53I0idem{>tx<$)Rig8(Q&Q9;|02Opn9@wrX?KL{TEu(s15nTZ%*_YEo+e_HVB@gm z4bJC=fW1||mbJ_-7bD!=6zY3Cw9Q6P%q+!;{n$aN-qQcq*W1&DgWperFCQt7Ft$C? zlRr+t=yMSccwd$@)Us6u!x1z5HShS~@YrkMX3r1*dx2UAcWXe2EoqBuS{o4>nD=Gd z8nxIyZfh*H6g?xHX~SOiSreIKqj(7??tI>CqDB!h+TvLT8~gg8rQfDoUF|1hzl;DX zgBc+!zFP~-IT4xqqZmHiG-89wq zkWfav#~08JerfBibms8N6#e0?7McWfw%Puhv`0}Ym*amndOiyeA@#I``+7ms3j zeS&HDXpC!NC`;mk$iwMfmW0b}ug{Aj_k%^VW-MQdi|ZbC$_V2@M*Fwl-grIze!@da zd%R!yHD73FZdYWKTDuZXsu@KlOqReu)ij<>Ncu-@`jgaAr=dd!noJFB&x26$i@Gb@ zT!Gav^ZY_bsz)6I)_=&aFI|~bV&iLqL}lE3DBXS72e!TsU%it$=)ZZI8e+Ijet#<= z7PG*4XJNik*4FI-iMOmmoG<5@(N9NS4rCh-3Ow0cpB5Npj(+%)9&`Dxdsa<5uYv{K z7pxi|;Bdan#2RADE_;^v0JWc0#qK-53(L-HPh)*dQSNuKQ5EUvT3RWI;T9YBdXi6< z#(cy(hDO-?Iqu6i{vJ7{ZrlSeNh4}e{bc44A>n!6$(`2Zz&%Ve%NZ$vS0izamZ+cCQGB2>^>qm-H=}Edd7W2Q{j9e^M+Fpo~%^%n@ z9dr`%nJ2$-27Q*0qp5Nzz-@)`VYcr7!Bo4qHlurHlQFpayZy;jlZ7|=?&^Uhi(fL1 z6p0j8h>uj$4_y_^C|+Bbw=v>Fg!a!P_cuZAg47;}&A&3@ePlyA_BfFSE5uuB=xsTb z8ng0qa+oK{K{c)Qn~_(K-w$Dh?8XhfU+~>UTH#!^5=|cZZgm-Fd7jX9V)nkQYo~}@ zoizM(WoL%hc*DU(>m@nKqnAk=fvj;frvnK=1KQu8JX8^4m`4)xtK?3xdbd&jte?n> zd|s#erT95Nyba@t%f6`a0(LAZTjA$P2mb`uWq4A~X8CWgxcmq#O&ZxrIUP)V&Gst4 z*>ukR4v%Qa#c6%`RPq4dD-#)W-PBtmtu-{S^>z5+6PL1q&Y=_`i>8%B;o#i@TW;|y z!}r2ih#Ulh`0mwy2fWPWf7))izv8U!Mws@r#R`~f#Cbd(d)&hu4{z2<6)ulvL)^?1 z^EtfQOC@wSocgnSut;61!~2GSH8qUDt`l<>UnbSbO*@#zqUAVDAjyxSmi&bSN4J*G zXWEc&zlOq3sTpks`J?qMsoTcRR1#W`pFcmpAWVF9NJAUpGf5k=dsu@^-+@#-5Erc< z?so2D?HTQRUlEncobv(EMk)qPh5{){`eI>B{Xlywiq$b&+aNfnkbJI#%iWHE^YI(% zZ*ZUYpM85AAR;~XaGWT__$@X!GZts07om*Fn}b1KSm#A`c7t{<*SnhV_-eOKb79UW z8-Ku8A*-A&s6Ua`wm!>R{o&=!e|9)D%%^IvmdAs|`HsTO-{;+Xj{ok(ZW^#}6NP(&z17wYZ$-_xCoZd&6e-6CYy#5<7sC z_C@JIF%)|^(u<5t-P@m~A@H0jIm+x@MIeEeSo+;6i^!7&g;{*+zC>E2mI)EHwdBkY z^kd6nM+~la6~haUhTMj9BZFAcs?2_!={DClTk}MGE8^j{#1#YEw^W28HOU@WK8u}6 zb8E47 zQ-b>)c6#pnw(-et65LL&LrpWa;(xxrwRt)?AttG8y-`uPbW{<)Tkoo26pY9FQ6g-le*owVm% zuvFR6rS=|9+`Ku~%d4e}PG%&_qe+#z( zmKVRzYG$Ra-EfeiE9wflbC@H-S11M-+`v7?Y+Ic_mg$zCzie>B)9bviV|ACj6Kb+v;@#Lz3<*k$t#+R`gMUB$HJS zdiZFmlgA_z3G>F30{7u-9xWH|2nYMPx(jvY%YFyjsnl36q@@Jm+dl_rMf7lGic*iU zY5y`g0mD(hwxHhV{`D5?x`Pn7VYT2i@l|W-K%Rq;8l^lxjPyNAXYr+h)W%$GA-NaV)qocp+)cdF#*( z+-7R+tcCHnT7_Ha(QDtI4ua9_AK8r<*^lPMKtoZfqsKIHE3^?cHdx&Aax=_W5!E*6 za(fqscWtV07(GpeTEeZD-HV!4`Un}qMuqKaPqcI+e+?K@?%>CB5YWSH0DSIDuWQO+xQ^2b3t}6tJyEELCHDwAyU3B5LxZi8~&t`A8^) z$J{QG=msM;&|V8WWjApeR-_VfMaG)SxNG~!+Y~>8d3T?!R>K|q7G|{eX=!;+$zVzI z<~cH2%eS+A2~iD^oKMX{9D;h^%&d4NB&vi)B=H0u)rBwY>uYqF2yQ;te?KRwf4qch z%mv%MmoaZz~C@r83$?QOAoesOVYoju3sKB^MSukahYp!*qPh6c^!m;QC!!5@F2 zmBD|u?~}QVQFkJZEet0+Az^{5#{H^jYu4en!m(az4JXU;i&xGv^MVL`Zi76yY|5K{g-o;9-@2siY=&9FTeF9B9sOg;~7Lw@XYXsQ&r(wd7;TgXnQ|f z$*6F?{5sK{8#b0=Y?0^Q+<>BFuG^pA*?j$$;d=v7P#CP2h3+0(uZ4pqGV!`beI&v? zS2SbmpfGSr!JX346hXQqQJvD51rj=-ESkNfQ<{93Sks&u+?CE$g&R>V2YQwI5t!O( zS0Z`9dvn~6`e6KbLazA=4y#-jO9-K@MW7;Lbj7X_NfbDW#KnjjU9hnKiD#PMOON%* zeO3EGw(ENXeF6$lB=0pZFlvGvDPWZ%8Y~wyV~+$Bpa^s(2crUvY7#DF7soIU9&iWS zBruj7ku7wabkyBxvZUs?A|DtgQeklaPnvhxrif%**%jC)j{L|)7!>Bz6NDGqBKTYaQfaxgGYSz&F9}Jn{JRzsu{myOJVzDSYamB#0QG^v z)=BL!flLfkV?wyc8F`tD&em!ETL#qj%+uUs1NXoK3qpz`+yneW7laJ!CHbuybZW$B z@@M%p#?|8mEmF-tju;)Ovs{U@NAe={T0dW29Q99Ml^OY1h52J;1?i+3bg*Ayq9idm zVfH=!#iZ(A>oU&XYHw?K?pAz>S&_u>94Q&p(x6mh?23oL=f6s(zWOUZ^OW-gFBdk8 zsx0tPAM~M`n=Y#>aI!Zh`!^(_7yg3>rjgpn1>URq?@KqKQUelY4Sv4-S3lS9KRQq} zYCV)1_-MycF5MogtfKY8>bgE>5;ym0yA!5VK6Sq;taU<`!-~B&{WVLLQ zyNYXnJIdu#1I>n5uw22mf#h)Dz8qy4@@`_od1(s1PL7bAwP)V}KZnl*=w(WoPO5C5Yn4bOS+cqOt&{C<)Vg1nZjr}<1tyF?M!oE+@o}8)6WqH!#pF^*I)yH`~E**ur1AhS?9(YDmkvD>27m81P_X zjEZ~SigKjPd}0|-K#k@Dh(+mBN8a4G>8FtTw6R&{s`52GF0$)H{*r9VVQW*s9qi=z z#1Q)E3Jos2y7ww4inw%a1Ee2`pLj)9#i9Xcb)~|3#>AE#2NGP<#RRx=-iz)E52-R7 z`}Nx1zH41J;d%dhm5ofCQ9yx?yF(!&CNd$5VwREB3&tvdO2G#1?mz`h*WCZ7jA1~en85FczYfOzojt{e%J zOMS9Z1)@`AHOoj4i8?(V;7l33tJY~k2Z|;xBSmvG8InXTJ4_af(41bne<@5?_)>@& z2aQI^QM*s63^*J`(+uR`@GB2k}?VmU;9X+Tr-D9W1Hq06?w}iLW)Olz~ zEY0J}XOLT;Bve>ed`S^yM69r0g+=Xby3!x#hWRyb)~6nI2T$?b#_rRt5MyqU?}_F3M5d0#1+Rkj&9D`M(w8tvG7T{33Mfy z1kgo`F+zmsqXw5d;;uy!ykqV3F#M+0*PS8(_Lw?x^^dvO8F_Ksrcx!hV4Gn_`8U0x)!W+t4|q=}T52e$#ZO z!M1o^CV&@F6E>Fy2aKx(B&W#!)~Y%q0QF0tTDj@8NU+HY>S!X3OlBetv7+&Y$!E+x zZTbaH!Fj{PVU?DamrcButE85IrHASqywVEL1!4V8m&f_*fq6fNsi1>XFO?p(DGRpZ z_f=Sq&h(pRR_T-|#KdNSa26s|I?wTt#Ac|!_$Xg3FF8f1z4LyJyYpkHmF2{WTWG9{ z1*wYttcsvIFPndgV9At7TK5({hGYp~PU5!Q5kLbXa5aiTl)e%O8_Zlmx4-jk^)pvvv2cTU9)~ zE-EQJMazg+k~n@Be@WTg4tyQJA5J4cdH-6}sBwq*CttJB*PN_jsx7Dnq9czcs33-V zSoJz5D=Pl3oIjjJ0M+D#2dDWPD!?34Q?c2JHeTW~xy!_Ozs5gAm;_E=2e3$|0FV4$ zfR#X%>NbjQ?wxWHWHRw-D((~N14KhA+I5%36^i0wtBtIQ)bVXJK8Rx>6^h}Vq=f9H z4np}Cq8aKhy0X>(?7yX(3r{xVd3+Q9>DOV?^n-DhCN-7~l|RkX+*Dkx+=6pT*Y)ed zQqh_F_Eu;W1ig*!;DPurXTBZYGd!Q@&XE89_HMv5sR5FnL$?IU%MW2GFiJ)}5ug#X zhXk%fb`E_Vw|)?uo$at@$_NdaAf3+PkbF(`ks@J$SkHV>pAD3YcZ@ zK9k%KcX_1gklD~)PfEQP)I!84*c{hhWfjz7*8vWb(;_skH1xs zue;=v3vMPJa>)2?#ZSZaL-Pbst;3x$b)gf#z;afnd3so-XQA!{!b_k!{qTQ?0K~pu zYl!%s>$v_Wi&@8v(%GPh0&z&=nnaLECoZTGdGqBn+?PDI)lV>z;;0rG!24nQf9-u_ z;rbJENMw0&YLN`P>Hpx*vebZ_g<rk!y1i zx|SDYz|6j5g4Q0RK4MSFxt*f&z7*s&&wOnp{%NEnW^^&=t36Kg1(vru?cPs)#|Fha z(OD!pt4Z$t0- z6zhn`^@~VkEv!x&s{WChlz84)mk~LL^D84gb0*&nrK)AWp`%Ck%aGqPicqJQo9}$h zL$VG!+t7seH@_YGynd$z(6m@{a z1*qi=fYs*pwg^11=8RV`#C``z&@|=?I>@emwG#va#@iH;!YmNbxhr}GiY(YPUob)` z=WhV`YPrJ|r!WXXrb@CRU zK3l6m+?5cQyL}880mwp}GVO8~AV6w>1yH&?tqo8L7(xr66i`ZDc#9M`8}vA+D#q7J zL)Rok#Ru>XwSCc#yH$`$`WUZfnLM7fol6I*Yvulj2$S1KC9DIqnHGkz+hXa{!U3}T zB@vSRIvwx|Vc)4;9!a*Ze`FW=eY$wrk@E6}66azI?Z2#?adG{(OJ{$#6aJsx!1({= z(%C};bxS)NtG}Bi4LGU5-phY1+5K-fJl=`?b5Tv&*27)H#!bfA(Z$&b+N&u@^-#vy z!P!mQ#li|~mXx)5Zfj+ur2w{6-WBV(Sva{radvYA-Td2wFlA+Q{`nY8MH}0v&pfF3 z1cay_YI|CG-0ir$+m!jw?U(;l_@Tb7HF#hq7Z*Qh=^sze;o#xHi@3mlxZ5Q-88{RqWE5oZ=o@4dR8$l+3|tHhbaV_tY#dD7`-CvqeL`Yl z(g*aEq-3<@#Kcr=RJ06?%q+|#lhFy;=$kc!jS_#k>MeA|Lq?l&=wT|9u3sW;KIQpf&YkzhzN*C z7zl8v@ZgAy2Zw@BO@K_-BcqjlpN>z!(#^v&q2?o6HGK{*e{dIQ z1O}S_k3GOat$-RXJm@bJ6a+-59`Vj*cnH+L@VTTkvnMQEgQ~mM5)Kh*xTOiYk+g!> zZx`V(ffNEBA|9LsT<*-2;}SDPwqk2Cb1@g;UL?CL_Jx*pwz%vq+`CL=(J1eD6m0ji zX^QFjj`gSId3uA@zM1gS{-5-UFFBHTA~C5IC^6`gzrr8Slb(`=rLwQIkYqh}VEthd zz!r{wXybkCj-mX^MLJP@Ky}y40b{}$Zc6a%OO>|$Zyw(KZN2D2t;gt>=d40%XcDCR z4H<76jXutGYDJy&Yx}M6;yiM#6+)ZmUyH1|iaBHqHlSl2nIPvm!to7yUXa4S_X_?w zKTEI<{#ERpcc!DEc=v?+waBLU%NV+qa;9b0lr7PjITv&%f$wy}i_Pb#jsBYiSFWq+ z2d2wj=A4V0gWP5=$V3Pmp^Pa!L7zKeGojU?nawlYd9o%hTH^WI`>Eyo z;zSOY@MIjnx*kl)BBoT?ETG!U^=;>jBFxn?;GM4Yq+A-Nd| zRj#@wx-U$L9X6HlJvm z;(RVh+5R&l6tbJRypktJWI3Wj4gF$2qD~ER@TuS8ru4{7=Q|z4G$qFn)VO)a#$Q}J zu70b!7Kzw%k(Hp#5+^5)V>>*5`SGIj@^Vi<^r@D|ST}<+IvVRKlbwZ7Y+|k5enL&9qca*)eZ3DaO?26^&B3Z>mZE zDKGk$w2q7K5XlUs-s1JCy?VWc&i*A2OVZNM4*H8poj3F<>jd#|or-GW>O&W^CC#ib z1}PQoDSb`NpF;vCpB7b1GJEOjkAK!C9dU#uY-stNVLqkRD&LPnBXDGkam_F&Z(sQ& z=$7T;J>8%Y9L8RgSV32YI4jS0pK+RWkKXikDr#PxLs6w@%F~PFZ5w2IpTqR9WFow? z@v(TTX_V#qkG_*1M2tD=_*A=J(|tVoL9eJKt(R9x=kgiP z%0<1s7Y0@{m9@ryYQ+t8KEQ;J(La^`{5+NS*+fYR_?1H|A45v>|Nrs7H3IAMXg0(8 z_F3N&#$qGF=(oXut}SYgmQR`J>&f_%REd&^w2Y6|9LN~yAM@C7wC8Cvqor)l-R~qy zXN*HCO22W9#w#u*8zw=SeC;9dBs^z%=>3D=C)swQGkuQ5tW@wnlrWGs+G7h!4_LkA z6pCiwK6{VdF8u+ifK+R*JfD?WvO7w;S#jLzpe%7tclG;?WG{8unU1G}inUpb-uJco zlw9=E^umts-9m5?O+kxlk}wjw4U#>R;RSy7Wv#B)449iHOf-azV~Hb$Z}GYF!Vqv| zanWW

VIGoVYFco)RbNA z2z4joxz+?*YQz}91e+Q^;ICyEbslqH{Tgm{aCb%=DVbeg+Se+sEjTLZ>#kXxKvyfO zRrq7Fq&V=KppgGcZk{))KEhtt9=##4XE=Bxw5AS0i;y@por1ACVK&!dzN&xw$M+jsYLvbz8yIQS(vbL(dXledryNQc7j9N6Y z%5Xp6XT+u5#rWW!y8U_kGWUk5`jds0S(ap@0t3go0q=`@% zr$-;Lip5M1)?^$;v$4O&oNu>LHytfM7t?l33T@m|y?_4`t`h2eLa>P0VTpV#%PpKH z7M*D|aSEL-k6z%$@O$_O@`uIU4TOu+gn7}faKShY`z2260hpy%Bt-1ZD}FV^8)zPI zwI7P&(U78p=EjO|8XcRfMAX{zGWW|rGF-k-)Mg<>!|hf%W@yxy#E8YudZrZ>iw%eR z^}AA>`u_cwEakhp6H^p;)jZ^$^1>Tmao2T{5~Yw{d_2xO%il64)T=cPYszVNk5N?l zm6$9%QhtQEn1hfgJ&iVa_24mo-M-D~*1Eqo?S$mwSeG-oK2>e3kRb{BrV=B`z>>rZ zS~heNir(`H>vusHKSjmNxxovv0|A6FNLF4)5=?%vt`Ia+!qII54aZ_n7h#~HX?}L1ZQW~$*or} zXjt|s{A~MBUbk&$vm$al@4|Zm8xt-x*8d{pKwH^P=(%$tHTR6pNV2{p(DAGm{{qL zzTR-0mwF`6a!(b_Wf}vWN#n8N8Ra+BmDQ^4k@Y$*T$rD(#qMZSDM{i=vhubV;wi&k z$Ot~+0DjH~48b>nYC=zQ3vW_?I8QsCE$_Ye)C6}qDjU7xnn8U+%?j_2pOg^2CBB}X z86LT`T30>n;ePR693^kJYXFXeVYHg{@2ndqut;#mQjO*Q`3!9 z=#mqSjK$V-g>-;W}7l~l~P9;C~*td98V^7De(Eb z?LNzXRwAKH0=a?XhxBBk;$B8LI3)=f>9N%p*}ONFqj_FgWi^pSS~3{up6K)}_oT$E znW?^>1n^O-dp4YnZNKJEJ2m`8I5M$KW2{2t2KtrrB~A^Q2;5zWK*lfvk}hFtkkl1$dGrqAooT>I&H5-faO%H}e4 zDXMJ{wROjke$m>czDZdxGF`5AytL%A2=gch50=EA;ZIym3ZFchPC7)B#?OgIa9_~3 zzOKX3Y<;b(r}1O@YRE*-vIor(ju)&9^)j8j+&K7{h&s?sOoy16<;(Ww!Ku%$Ok-a| zdsBR?FC|mTCg!(r3(jI^7B3Xr42;^k_6{;h z(i;p55y?K0zp9NM^VWPX^yo3%_oXuw#X(B&UTDJJgK6smG&} zc5EOPeTO0aIiK#OvLdyq*Kv8bLEB?d;jEAMORxQlDs`y(%T6(F2vIb8_2|p7>Vx)` z73S>_%JU?i;uR_|Ec>8qjB#TG3MYN>Vsm=1;S8RqLKep@ogw{TfC{JIH6cSm>*W%s z#3|M-+!<-dA6G$H6XIJq>Srp9SKF9&qq}|x_X3y_3Pnd0H+B2a4dJXeGb6re_}2V> ze+%c`l64E`mT(KVsaI;WbMd+pedyV03@NX@-M`4em`BU&H=P)tgxmCl_cDm*$iA}I z(pVHi+F48{Sd8eLifmEcZBXy5{8*OM`#d1~3Q4fG-?losSlI}nnGVx+hz>r(z3%6R z&Ww}dB<@jqO(0E;F0Ix}hW`BC8e=lsc&?fFY2hu~)UU1WOpBK~~0E~Rs(H>-Jq z;`VfX{3E&sFC8AmA#B?%M;DYlp&{GF(9^3U3e=vny@g}@^Yx7HVcWWHMtL20PW`*G z=Uv?X`&E0T(yyJ6^5STw70kx6e!dv5|FU#``0S1IsXjlJnk)XIBa>BJd{VxWAb9Ytc@-STw-q(%*n?$MI*nq+E_%Y zmK=MVHl^Vq8crv~?hjBmD%ex_&5Uy_E;f-XAET=Ye&LaV3?1)3{$WJ^v|z-NO@8`E zL`uq%BQ3o$BOJ~_o+oWX`Gef{in`d)@_8*hYG(cJC>?B^TR76}Ogq^hMyJe8{O>F)R&~XctOH)tUNgP^et4e z0KSj0St3jny5YM`OEs~prXw5!zAOya2#JeHdc@j8EM1g!lH}w&xwHA>g=bjHCeq2` z6|6)U$_#2gGvQ=L?YL-4TFL3rNLh;kJ;GJK!nz3bWmogqO|=*F=Vp5NLG)p$q{>Q{ zT5%sE5AF$v6s>SRkzK|WFi5pp8j{^o3Qa1W%~CQPC&DF6B>o^TFFei7Zr~?@A@p#p zosK|>jCAJpJ*HS80?rR)5-cVxj%Q+x+IEPoN3b#R%dSbhvyZRbojLq3G%Zc2)#~@c zy2+IF@^IUk=Eh05E0xE z>u*a?ZI@Gx9;KUDH05y4#+2a9vR+U^5Ld!um%0(ySR0xQhZ{x_9PyO&==D>jy5Ju> zY$bc8Q?$Fr$$VSXI3m%9^7u-7NQrPHB(zG8TB2`y%JY;de*ZUUqgC*cp|$%c*G%?N zM)L`Wwb$NcSkbXEc&&SKJlq*w7=r5;1*6`RUpKmG*zLyM<)$-_^6Ha~t>>alY*Lox zrMhm=zJ(m&EZfd5EFGO=&KX2D{;2O!PN0!Z{$(3J65yp;2z zA!PUS??A^2lSXQ0bVbIW-g(wH9y`{n-9@H(D$lJ&3~h0H{rhQ$zcjHbPvXdi(RnBi zj$3Knw_RN>p7`y@n8rypZj~#r}%kIEqw3Ihs?B5fd?f0p7(L= z=RXy^vLffN=Jwj1$PMK{=9}hhaF-1>fs}F?AGro z#5s9+@ku9eB;Yx3Q!9NP77xD2Sk!$LAuY)GQfx^V46j|{KDh0oE}KaUUMi^lp;bJ< z`8!?J;Z~UUjP$BQv8SioQvn-Y%VhzrPrXtvRCH`gsoaMpsp93eba>7uTtAu=mdU2w zYkr>3A>x*RIJo;l(Evle$DdmY71`+hmzIgN#huiUMgi+kMGtltwshrpjzVJy!9$O+ zxsu{y^czN(?f(~h?-|u}!+i_Vn@R@(=@5Dm5Co+6gdTboq)7=qR8c@sdI#r zLPtP43Id@eG$|rokdENx{m+`YbJv~w_^ve{?yOnw*W^pGva`=Q`|PuSJLWL^f^{i0 z$%HWr4s{6zM5{r%!@hR@+l`nr@N&`P$AH0nz0*%Px;6^3iKxt(6 zC9dcz(n*3qu~KU3RT15YJ`@hI%y{i)%|NclXxlsgS@hYeul+m%#ugPNJTQZ~pt#LV zP#$KJck5%$`1Z{akAv}JPFh%JBlm)-$bU%{xCbSwrxg68&;^&sAcR^93HNP3H9YHk zD!J|m0<95_Cfj|OR&y8hZ!4xNF2;?7QF|*N=x^6ZttYnd1>y;(l5=kYKBeBfSKbe> z=LgfL^WmmMbyt?HmIzf%x!_XDAxFn{GE#5yXS6{wl6n^OITluwc1B=N!jx@$7Z`sk~=aJ60U zmJ?4jv|x}T7Lv|jsA-x}TVis2mXQl57fe_Lj3xoHsQvbiPkVF{bdd8=P(Hp6@q674p&e{mv!5)H0c3; zT~(hJVMAum^x))B96$c6nLju(75C@|vLIx%(dH)I#wWL#`R?1Quo$_paPDxKP~XB7 z{biuB$MBScZn~^7S&$){n02b%YD(V2i`$+Y49_RhBYqlYSr(@5+F5B~BC_UZByUZR zxv$rlvCg3_$UKQCii_~qW)$vRlEIOf&Q#WPwWI9OW;srRF!meIfv3A zv0MbPqAX&eN#Dhop|0{;!73BqesOCLhq|+4${78`n4zu6g8klY?$6|YiwRE-~jfo$d z&;dwN*PsaYvb*pj=7xl|njGMs@U&55Ai*z#YfQzas+ct_CFW?}(7;Tp*SPo?EGw`} zb68^ClvgamiV$^^{POmBWk}h>r?2JPAMp=Oakaf514*m{K&1R@uSeOb%7tf9E0dQ{ zBaYbg4DqFg6wG&JFd7zxTA~EO(k8fnhaI<_t$BFPNn|&C{8;VzWFl9$5cXDu1(dSl zb;q1_l0@c$DPi&cBQgx#u@%%bsUWsS$Ex8yq~Sn?ni`M3YVGa#Q$?m|OvAjn?bndh zqw;)eC4BBhDk(a5?Mqm^}ZEYq` z=Yvdj+-qby{z&mfy!k`}ZCcGy!<5}~x|u;8G9Zz30%$&kI^}j}`SDz~(GGkUA5q=d z7X~PQM%dpI6fmRI5PwMS9fEE$J;j#kLg1&!R47rwNdBm>6pDqg33V%hNAn_1rSlTa zq>x`EtlTj1-tk0hqhYbS9|;?!8-;7@`Vekf?9sWuW*$jLJVlM*X%U>9FZyZL=QLG> z44WB$wdZ^~!7-oI68kmVYJHCB2Mo}r_WWfk`ahuw~kU+Hdg3or4m|HR%eGe4KMiC}sU zx6%B;M1x-I0LAd|We`8vX#(rurU7i7EagdlOv*`UfX?Z_fa=vLEa~)xDP#6Ru#8G( zCgl&%YgZ0pa{H$*c#D>btTBRVZJgiag0WNI&5%NBcdzNoyvyD($%Yn|>GN2NHs=TvoPn0!yhg1B1yXE!P^r+YCNK zspvVV8YHtXTvj zW!m}e^VG+uE$uQpj$kZ})dU)@g0>LiF5q}6SLUmd>^eqX5SU>VYONgi#s4&)Y74u( z`nEFjS%f8;d;Vp925q$=nwUD{1~hD-fA?m;;cV7OUIvykY-mH~1p~mCeW;5EjiC>j z1Sp8DxZ&l@CaGzszqdUO1Ogx5jlp}7;bx+>_{209;HRBfE&@1;*zfV-E!gk*eNv-$ zTKPJ(nd^xI&l0T^ph;nLptdVIUN{8|^=iwT12APri40e?B z`xF9?q>Q6^Gi{O+b%vJ=%0A>fOO9e;YF7T1z>H$)h@M8oy~aFtGTs=O9sGQW;%54_ zis)wHg6ZXF>?sD<}$UZBvP=K9=_b2L{J5C-Ba5Ky8Lr-__gkOg z`=GcVMlVu@Qr2S9d1Q;q!zT=aWaqgNTB}tFdCi;FwjnQ$p~e+3t0_!f2758^O<5A^ zkd&WHCHAyj5ER)k_uK8ofm_Stc3%mUIOLn^Gb^Lr4);63zOBUc;T?R3WcvF(+$_Aj z!77Dr5$|S2J%Ln^30EG=lvQG)Nx0PHi*UYN_RT8t@UfJRJVl8~HyT#Ppp51+$aF1@ zq26^gBrAh7=7z*by(B$yq54qm4qhF3txdwUmO*_b5}KI zeh~{<^a z#fAIwVRJSC5v)``eC4c?CE%po)uef}1GW%j^25pBzLX@y$b;U>o9|WTAOSUS{RxAt zQ&Y3N?6%Z=eOJ0^+cQG}8eQo+yGdJ76t&UbIHzVuxUB5NO_D9|j%1`mgW&ItksMRh z%R%3p84tz)?;V@?4WR3%FProj+CsP#xz=fRSqkxbZj;_jd@W>q_G78%6Kv4*b01%` zRiDgk@aT|t=!@qD-2#lmYy(i$I{UIITjxip67gtz(Z+Oy2*uDHT-B?HGSakl1s|I_ zA;hWkg6T$GSUQl3Q{5iy_;F2ymYq_*jHO&1q=jFVhG_1ZHpK)`V_@rLMi0OreCD>3 zR*j=+g_|F6!;u0ET)gY9gQaEt9K=429DREJy|F-s7hk{-hhjZNQgPemdUgNR z^@!#loK(x#)lRWAYYifxXPQ>A+R5c)1`zJkDsF0Tg>LuERjGpLb74(hFb(QUAIY!0 z98yC}G`H%Dw#L%V~_70~`SuBq1Qy!~yQ`OCQ9HT)p<2u<&St z(3f^_p&z}?Hy4stn|%|EDt6%4yj8d(23gipdq(BW$m7{F$z`UU%XRl24Bltqdkz11 z?>4+eHkr|${m9~}2p0o;q%v`p3(}9+ZBgG7Ib>jbDU@v_2FJ#5XzTBTlER|M$AzHF zgCQNJo*_I^`se&R#9c`aWU%YJ`_hB(pmJdX2SAM-s7=OjT^66;Lw;JjS!%U>1C(+k z3NN;hoqcqZyG+L+;Nh_F(Fa_YVzhU`OWT1(m>nYR*#0(F~FnU>KvI^foyi(?IHCA?IC1t!<1=@RlF? z+mJzyaoOVBoA=rS4{7zxvT2jEv)yxg+*Mo85^a8uYPwGWaIy4Es&Uq4Objc-Jq+er z-Saj4RL+nUOYP++6P|GqS!QtEbwwHXrNXc20X5?Ae% zW1UwCg2%_A%Jx)X99=e+M*VAP-FUu55ov?c47`Psp3B&z%2%TmP3aGf$By3l79mC2 zusXg1+FR_**?iHw>t&AQV|4!x_CEVg_jbkQXVRIxGhzG-n*AR)dNEu37m|a2OX@?q zx8#=IpjaNS$G(@RL*h#l7b8f1`*59H z`hYn}zG~rE$0P5j(F{cx^8g*-Nb0;USnU}AE_x6V~+|jkDv9Q6WzZK ze)rLQ{gr@Rtb2^v-bSu6FMzFuBDGTF?=ZG$hBU8UCVl_mJOf@UP%Lj|ek->P3%zs_ zW#~4PvAy+=`TA5vI*n8!wqe%IdXfit6?LbG(WC9vW-3J^=h+Wj+QE(d=)l*J1qmyM z#Dq^h)?GQ8Ap}99tqkJmd9t{kFtp^Cz7)F27`3P4Lz8CE@C7!WG}BG;`!` z#j6gPH#-v<@hzN0zTuT>3E;40q%k~pYT{5Q=RjBGF~JJvhOZnpb(#mT8ncb@RP97n z2EV185T{vu%H+J#dZAnw>@GhgB|G<4u!)^IUnV{*C<-8~h9F-vS%SyhO-V#(Ba+V7 z&t_UrOBlrspx! zAKD$3=8fB(2;b?eyGDcj5)-^QALx(3m($+;!BLT@7;E(2pi#(furO=Q@6Qqn(=B94 z<{dejy7>|XZ#3#rRBR{IRK#&(RM9ektq85%e$$E~FzxZLYMYcr2i8DyCouh-rAiC8 zlRA5LS;P{N9YSh@pn1C53@Pph*DVAFs?fLI{xc~h`iL9cW%qu>V5ZyU70Sq`XzYk6;M=U zeP#xD-jN!29C5(7a-qa4ZUtp*bm~L5tNRMB|I=E_`#+5>uiAGQOBMs^QjJ&V-U`p{ zmG_dxf$MR(aU^EfbX^tq-mzW2`Ga$HPbcJmG>)Xc%I%`PpPOqetotI~N(=gP@;;Bz zkbQAlxN#xQADs8ogHR6ww9!X(*^Zyi*UEkr$jIouG_baienP-x;rurTws&VKK{e+YdIE&KnS&vj_E7r|G*F8|n{d z-qcE8P-FYP^*{b1_l8K~Y__&j%vVV}H{muB?)+>h?-nyFvvN>2rM| z`R`2Yi~q)G-6LipbCGraMN7wIqV-v2meo|vj2pXl=JPUr^K#%Dj6HJQPIQ3&S9tiO z@n$VE>+zd~ISqe)*nX+J^(X4gyMP!F{F@JcS{y%+*&rek5!Ub%`sDB9x=OQucY9eD zeecO$E5E5PFEJJ}NWCMDL*8^_&Z{tyaO^kFG||zdBb9Uw52~yDU7uKeyb9hw;IP!T z4sf$kC6}QVM@V1A^A2QW5zzVKRIc0I^G()U`h!C&Z{1EyHdDYedO}c(@J-5>hBqIX zf+B-l&Y*c+rGIb+>CWyyC|4{W%GcQv+b}aH5LSovf~fDWjlAXNJI!9%N$V(ISP*9? zJ+de_;qu?J3`+kZB30ayIYQh{*q8gZo%q*GJ|NnDalNu{W82|V88A0{SZ}?oI{NW2 z^)R_~EZCt!_T4=?;@3zR$T&%0X!zBXjZucI& z_&p9R(+P4~wOlIrH>6LQ_Tw)jnD_gS9h>(A=YNgF*(2}S`5Xb7V?V`teF(mL*X5uz zG~Q=g1)K48`(WLs_1Tr89u_GbK_ubH|Mm5FwKbfG3Vy5?ijF=#D)Z^=hfp6GmqJ!s z&>>EZE0j4eiar+>?G84s#d5E^FwEHAs*uV}f%}c$zq)tuncKc=c2!!df$dR@$hgm`T#G7o6E!|oRNO!@_Q)WsaZ_2LSR>sW2@lF}mdF0Z>aw}G? zO!v`h|7&1S*Bns4SuU3K22uAmOaUlivlLYhnPYqTxY@%##3#~c z)Cg&7rS;V~uqG|LUHMaw-z@w#TYZSdC6&gEmR)q)8)jc_Cy>t>y?&yw6p6q(uGvsG z6AZcdP-L5@zqT{f6J(Md+jENb>1+EOj*onmK|0>(AkTcNk@E*9NpN4}G5D2Hs?z&O zhmq=963ci%6r5XhFr8e>a8ITOO(f(y{~Ot-gDDkt!)49syx|LJ4dA0gs_15JliGte zSd@JDz1FMJ34V_6-}+Rb5>xN_7t-mzmRxXJa12rj=s-1%a0~N)LhFH`)P4&_MX#}v zv7=Hy@1bzsVP}MK;#8CCs@bC!3~co&AM5UY>JZjlwsFhje?!>hS=;DvEc%Zc|Nlab zH~E6xn%$A41dKKs^Hv!be}X)mIeK`1?M`sFlB+HwXH_WBw_q(ngFgowOv|yw+9pK9-dKbTgG}Nr{Dp z!>Y~B2CW-!A9A_?uau#Ay@y(hg}%ojw!C%!(d0cJ2$9)Me7cCQS0B8d!s)%YjIcciRe7^x&e>> zyQ9h~Jo>_6djRR3!wpp!ZK$3%ba=^l-;-d>z2xHMTaQvbEP!I-!n`Q%6z_+8hJa0@La@0WFQ`atGIpHbYH%IH#9tT!1(mRd?gaxMd{M=@-gjamVVDAmuQ7Vb*$42S)7Mm zTT*Fum|{4PIw=OFl$g9R>g^O*e)g*{uBJ}eYv)3O)_}OElQ{T0ND0%D2HtObFKLo{ z0KHJ$o&!_ay&dpmrB`M!pp~-n>&TB!dX&c{b3&*UgFiT;NhI89Lje!{N8LR`plC>g zQvOvWjiY>MT!VT^4*z>U05siycOOdgY|gf`86YsGwsHk&S+#Li z!kzgzdTRt0%S{U9())Wqu#HbI<8foU$^$XVY%*+^kAL0vpu(n#RxLP6+qqXIUKkn8 zn_@$uZMELYO2YYc|(y!lc@fBjJ9Fty(*P^1N zuu74I-bF_bai>_mHP52<`cyfni`qtg9fnjusz@Oxfz?prUCp`I<;(g9&-zMG<>{V# zTt_U=thMPUS6}NjeYUrKXo?FOw__c67ZUGBzO+OGO={(&^QX6a%+UugzrkPlPT?RR zz|MM@u&o~CcDwb9%2MAqv3XKnlj`nD-o>P{J`#NQ5zLzmuEh3B*0mf`3b`cTEJq1v z67cJG87LT2=WG4J$!NMG?Vs2Os4=yfuQk-y<{apn7~boH-UE`WSo=iN0Yn}d>!;Qi z^UJmEzHp00KKAz{dv|5~ozQb7EudZVgZ90asTfKJsU~U4;FD2Uc&~VF?0CrMrZH;W z?WpGyMOG&N@($1^+tl&y?@hO^7qosc{glSxsg+3RYut44@BxH>5O5VSph2YfZZafH zB7Oyy(r&?=0J^0o=X4$vc8d|Kx4kyfeSO*bJ() z8_n$GgkX#e1T^$c)Ek2o7t=IVm`-m&3wgKLpI~07V4us0H>bH z$PKY*_Kjqjy&s7H?3F_bt4ZaH%aHFgJ~0uat^s-qT!;qnnoh^Z7N^OgjRXARgiRaI zalIVO@jac!fN7eHDZSfk0+4w^x)A=_?HR&%++Cjw0yjbQ#ZcBZ{kK$Vu%m|cndvC9 z^$Qu$um{NEaKYrWo&MVB?5>k~MyycWoED&2Ws?5g53*b1KBe++7Qd)85}Dn`fhh%6 zmDKlJ#TCfH#s1A5DpqKE7lXQLlGIl+ey!li;x{8PKkSZ`uXaX6bpfN8@-EbUD$X_p zks*D-Fo=(oOr&NZC1Xfluw&&$lC5*sD!n+^A6kU^&FVU2S*io|2j)isGjY_@8wvI$45OEPp062 z1J3CW0~s0f#L|Pva4v7^#zgnDNKeMvSjs55@JyDE7HGk10D4NZ{?#@sK>eJ77H4brnAtE*I|wQz`3s2-}ai7P>Oqqbe-1i@BHJ zYib&=5(%IYjJuh|iwI0@@-r`;l^Y=Mcv8AOC%$o@l@*XqU!&#E-sWN|BCv)44N4XX zaNtk|yRdArX}d_^dw=%TDOr=M7%n68=?*t_sN#0K9%jeAkky=G9E#l*S;~|Go7=Y{ z`Db(e;=m~dFa&G(9~>Lkk9Gn_byP7VF?!8^0h`RSLT+nQ_A_>0j7?f{%$5hbWlB_Z zpV7bUBD8ypOJ>?^8y<*fYqVYr9I|-Yz40q=0CBCK9otpl$w&p@QF9uHdbDA)zZR!6 z)27ZSrdAO@5BiumGsMX$eu@ZmDb?ExAu6$a;ubsVT6*UdLP!IYfqVF#P{|MHRQh-1 zqvCO0fC+?tUsTaN=2sQ+XRiRW4=UUcq&k+)EoH2!N?b^>Y`9czE~)4}U~KUmHiV3d zwDK3`a7_v?m>5tdVC$LqXM9Y@(92=FMpXXX&brs|==&wGjXmRKt2;5NHsIHM@doP% zT7u~NX8T&&j;!fR-mjQ6oi6>Jcu$Qs2h&&0JZeOZ6il}Y50e3u;Ow-K@I$#|ktCuI z^X8};?dJu}Vno^j;^;Nfz$G}XI5o&Gg`8aR>yL^$NS?OHYS=R>{s13(tbzf3F@gM0 z)FwBchW?SH4wBXOv4JJK-q}$mMj=mop#fs{;Dl{bu=hgSt!dEOM_Gwz@~eDHFrLw9 z0Ss!f0NpdO9*!J^Wn}16JE@E15VJ%}0r}ZaewFW~+M#t@J1`-)oOQVlfb)05R&NRl zRc>#n2u@CkjZgS)m+9PTM|#BzzLsyP{pPhHA4o&vdVK7WZ@^Qau(Ng1*E&+E`<7$m zI6Esea|7)QR{^HWA$-B-^{b=q<4o4->5WI?%Iqm5?2#nwlp3XB!gbHM+spL6Ji|Yw zydzdT#Qx|lY?WEdLY^R(VR)x5w{d4$`&Cu6DxPkXwxIc{&ihG@azXcztB!L3@H88T zbZtg2RqIXV&YqHXs`c+Mp{rZfs#5D#zl!-U!EP$v`HwtwriY1`)j-CjVijY3PgTXXgK1=*|C)6x=t=bfNE%n!6- z=pdx@ul+I(6cz~1DEf*+KCGB%F0bQjrOCgG zNfmzUUczx6a|DFw@bSY>_RR;tPgwGXW-_;(7Ckqy1$6X~;ED|Z4L;&-mPt9O<_x#L zu`i57hMlset#Qo{Yc@VNDTTc+mg#4~k_pf|Vt?!mce$y~R4`jzmp%=Bgki>5)nqj z%h$QmUNwPX(A<+sW6W%keUWRYALC=+{FWUlR@2{xR)%*j>7JZ^rv~m4lq)MjT}Aao zcFLtbnV>R07uvK}hus+h-@g=(qn!`atdFc$Vz-M*dYWj&>mgZ@MwjK3+d3O8R5vpJ zMf38lJy4a^fo-S+PP4(rHzqdpQo+ACE>ybLBGpE$;2uy7hN8{GOTSV%k}tlFxR{CG zO7DO9#ce=iWn*Nr}aK>DQvjv z_RkMgX=i-z+V%U->J;-2;4y$ZhV_E^8CX{y`f3i4H#%=m}mvbGl|lQeiy^-UGBzDsVgJU=B3L3_#5N=wa!p#r9GRZw_!VbJ>Y&^}2|TVz558fpezsS2gd}K?jyi>zjoc+RlzZltcaL zq%z|V*TM2kXnUS#l(9wA_ewZiha4^rF)aqLnWs(|j%cy3hpTjLqi?tAZNE&>-4#_C z&o|_=z<}C4|2{=3R-kZsG@*EI=P1L7rbEL86QSgL7~0@ZL z?2$q1`7s%Zwk(;HkT*_+x?rz(9y4*JC1C>%k7;CwM~O z-c6Gr>m<&FtVOHgT1X9-kEqXJNUfBI@j+_++UGKdEjf!paf*Z@27F!yrlH5a$Z4_r z!e=INW9B6dDGo$}C2dX|dJidk)4zlKhPArSa9_g|9zy#<+DU~Ut_O+dK+d{y5FF`z z(g(JEDNoEC3YJ4Ayw)|_m;LV>pVhhL%S|#r7d{42c`Z0KR< z#ZQ}dx;xZVC$|%O8G0Z!I4Nyva>BrXduPbd>^MaugsF%eTA$Hw5&#>!_rIU@iiUS` zo}2uK_5QNWrA`-2F(qaPUW^r9t2Ft03^fIyre`um^)C_CodXMy5-TSm6j>H?Fr@-<5Waj4 zsjvkq(hW~X$$lp|tZMYOMV-XlDh-8#JYVNCut@z6=vwSu0LbTp+*^rX*^KH897CK> zvAz;mu~f5^Frc&;TbrhUVm9CH!c2?1>rk71iq)rV!mAz{lSnwLFiBAF`EYDzZQtKm z4e*f5G*x&{}GmCOfnquJt_qGZ$r$?kszLI@o&=&QXFynSl zZ({7)XAUj_oTw#SZQ0k~UU!UiJZBRyRUmd~m<{o&^ePd5P_uXs1FH3;)w)-H)(g)C z8z#_GzP=jyHOPiTt*@%iDa0%=^>cmj*^`5T;1Wmf@=W?jcpGjHKW`!K4)XCdc3LJ? zM3~*$Wcc~)Xk1hSuAsi`&xpQSumUxOt2A@O_!tz3CY-+iuT^!~fA#zSYW%a!q)Mx} z9=*MzZB?#iegWhTP@K{~d-1rk*`|*lyzt?7uxI0Xro`|Dz>zK+=IfJ}cGKn#{Db4s z{RapA_z%vUn*)0Ms(;~jgAGsqgTvVv|NG=;e#h}qB~q$6M^%?Y+sBO*1QrM0F7jVN z_wLA7^}A;*>!puDJOL#+cZ^<`^dW+N2rAm?DV)o@b-<4dw7R+18X}PQUz)~T(YQ%v z3Aj?2HC}<4;vGNjfHE%O*?>FT2~>0ESKI3BGDTm~0}h<6z>py`DOdXprw$8MR-KNt zK0Z3`mtU0`2sY1qh?VCvhUWM8H77qw{xEU{S^NE-#T&*2Djg(&L#4;D(z11*D-SQ_ z8DBer%5~>OLW&B%L_b+I;?p`MJlaUnWOjV{2olouGIL*Q+6grEYawpuuDtUn&vIe@ zv0#-968Qu?ale^jb)>f!D>|x$I1g%FGM_PneL1iNubqCuV|L`3AMhne}kvj7?AKwWr=Sw|DbLHsSWW5!}V!ACSG6WliqYe$qFZ zX>UR;r?D=+x<2ldj}XqJ%w>klB&1?|pG?PoLRpSaW)$nQbXF{V#mt1(Pd{|C^2z|y z{~9C0KIdDZ}U6@{$?PNPwm~Px>O#1z0pJN3f^PfHf0*rx~ zI6kMmiV(vWGWUO0=3!q4AHTPPxC`uIP8Uej+NE-S9wvZbO%4i^N;?)Ct9{)N@`_QPTpRz;YwoXnPYt{Qbyx!S8pGwGW9*^Q*W% zp2y5~RrYGVjQB1jfGB7is?9u3n}1P&ejJTQS*SFA!um1CnOf&z8h8;m%X?nQv$MdZ z=^fG+yEWPR1=-g)Rp90o*8;C$A#~X#9b6_xLIWFw%ca%@vmVusiZ2NjB=gt;S82&$ ziqF{-@ceEmuaf>ZlIoNP4UsaQWUX;MEtaGoemj#ce8Mjcq6ac?)g7MAHVFKFnXF+q z53Vo@(9SLU(O|E44j-YGk9g7QNHxgFmPmRH9J-jt^`p4I*H+E)-Xk%0e?3e{!Zun8 zju=$@;w_Xy3nxF{;B82_Zg^doF%q% zMDx?mr;6HV58Ubzt{402&^N3N_RYo_@J+b_Z35N-Tt=Cl+b2)i-ZyDo3>YZndvCtW zLnYGxhQ1VpHDET)SL#;Sb*RZ9Rcx?FZCkd#Gr2Bk5N@{2lPtGKF@WG}N6ahX;K*vO zeFP)ANs`rQQE!#{p=H+1N<2GALD z^?9m_i0GVJl7Z)aNWTrMz8hvK9HhQ5T>2$ig?W5t+S2TGjM5krc`z3^K2wk*shLA0p{dbpoRChO{Wn^vA zADmS#^C%d{i!hN?5GP*sej?HywYO%fE4G|*B`g;~H_pe=v!|zdn_yX@xst=*b{d^K z^Q>vqs}!^;)l5C57hT>ZunO+*r^~D5WqZNeq`2L|{q_gzv)&5J2UYQ;uYmHIBP^;x z1{`GEcW(p1b}lB{j~X@SvJ4yNjkH2KOED>ED;_pW<7Ra6H$Dq)yQIOvWs|Ga<;fwD z1(oW>-BCB)R@SNe;~g&bZPF~Ne1E9 zPI~oW#e$umkL7Sg--YqYh1gUk{iUL2(L;}N6aIF&;!5x%1>uIhej<66$@`>-$Q*h>=rQmofb|6Jjco5y5l)F{xKw%Mk~Zh z+mc?T*C6F}G+((nE*BdwQ}*BRDb2optT;WP@QfYmnG z8!iNUsOhkFP~&WJe$ATDQ;#q%+0B$UoN(^VIpl|PhvHrM4sj)kD>BhsrltO>$NSDI ziiKML4W#N)pHV36>0=$lo62wErSRtLRU1-vKB?T1n00ZVh%-f|y1l=a=a%EH4v|W~ zw!K6(L-D#f9ZsA0yTW?usC;RpQbc&z+~8AL>Q~O6An2yRhm}{V&Q_jH3hv-f9uIHN zRM%yCa1eynnu{QI)^NqNh@}+F1IzIM%aVKAkfRZmrQmKXgpe5z!8R<7$dV*|!JY z)FZ+TdrQ_*Q&SI={p#?*ZbXxcvTrt;_@59O%Il1g=$vh``l;yrwu8IzW^@frbh!geR}F3JH*D-_xKM^h2_oyWB}uY0*~62*A1rPuLOom z%+EMg+#OK?M$v>wI{xkVH|czSWqTXSc9qy<0dD+##@Y6X!lD*ip$+oOH9$mCIN&5? zpH$KoywuX^9lISADk^fY58QMwojYO;R(hhMs?~<$`CPkhFKJ@9VJ~m<5Vuh4MVZY= zGrU)^dIX3*>5&W6jvFq}lI{#hKvkKEINeFq_QC5KqjQ=_W%B1OL6W#SRl9=8GWUqd z9esCdZs!=ox_GJYZI5Jhb?Bx)tN3d33b(B)3-T-aM;78$wfDlt40z;#iE=CWym6+agQqhEI4NWr zT2U#*k9h`j)NPMH$<~J&=21Dt=x5T=O_Ec82P=3Nz}FqAa!e$v5AG=6abE0sA7#j3?Ue9(&6{xv1sS z`ol>;wM9=;>~r+Wi{IPS{VkCBSt`Z`6FGXN#L}S7fi^1ZA59vdcZOQ4IP1p7`jpv$ zqtb)H6N+v&J?-&^oSmQS`M3um2*B5$W<4smUPX$qNKH-4`IPj1S9n!S(o$k|9|fra zUjT?Ux>~_6G6*lhrNhA{cIa(uz>7Q11;z^uGs-^LPmmt#Jl=?U@gTeGmE6cTMT@h@ zGe6JANGr*q`_mb!ubM>4SmG9oIbZXo^nQR+aP*RssbmQdDy5K&Y4}%vn{UPjaQPy4 zM(+y0rf6xPkZl$RMT zk+;pyt9xeYY_xmbo7n%9h;15_fR?N!7NmZg?E?l5Z|<4O{03XtHclI^_RZ!-^#uv)Ay(Co#FFhTxNbBp0fnB>S;8{T#sjlco4vhGmzHAL zFKTv2?=@4Wk$sQeOl3Q1TafCzu;d8+6L4+=QA*iDAeo$H zf}t>dOs2QzDq5??dk#b@hIIw{%hCNbD2AouFWaR~O#CBopf#j_!C^{(%MYs4!pAlq z0fuJxs{KJEicQ-HNfRogF7 z7`)abZ8irdPexjvjf&gk;aL6XU`qOuN$*np;O_Irdbe2kDG8ZnxPbH)*T*$O8ZJdL zqX|SK%2B)?n^V&~;zepn@V zsaIx;)J?SC7G8)EDfq5kz-r8l=m+qF;1mmVcz$PN^_<*EwnRH+5}Y+h`OmjDm*$#@ zO2msn<^m)H+&Dy_xL90v3YZqY%|4l+@JC58$QnJ1C4L!)t0lAYIoK2iE||EDsHuEf z>`6DWK&853GPhVg1%nTk7Z^PBUno;Lkoha+mYMjkbdY%{>LxrPpuK*2@} zqPZf-MeqkF?C}&v|H_&8rr_hvC#&D~Yxr@WoeBW5i+kfP&;?2FU%o=A!suaWT@Y0>43(vXlbPjQi2`FzcTD&r!ebF zVfcv}A2o-vS=@`#x)G#S`gfz&p>M+sXJOr3mWmT}3CGiRH>yrMnUZkG7ervolmvTnBl$&dx~-`p^C>ymO$DnCyrZ!`yA15Mdux%PCZRtsa#z=V-%gYkUDK9Ti)EZ$cBd;KO!GKb6`Tg7p**8Pec zqG~+2-!iKrm_uJSY(&P-ti&7_7rRQ6bm78qAj`X_-SXJSjoYln13>U@ud%p|mF%1k z3 z$xT#kJN8Dv2hrtuY9n~~hFAy+y``roQ|6+G2J2<*H-0Xizlwek*L7F1BAv0!-HzN8 zKq0+aoR&6@sq#BN!!24Iha4+2O7`vUJpK!28Ezr1PTK0$rU`==HrcJHOo0QCpr?m&LWU!(=a-oIpd4@p43m4&NQ@ zUE{{mT{LlOjAa6bari>P&B7G#9dOppO@S$s`wQb?<8+XMi66QvYN-g>stv11jF}zrF}Kz zm%T}*-2O_Rjb5BJ_-5XS2AcqVp&!{N7ty{22A}BdX&LtE{K|nxqrpQH+QABbmBqvFdK|)a^$pTg6l3WB81<6rz z6v;?ba>g#tcl-AJMjv0#=+Qk!|G50(4}<5~dp>K;wdUG$TRQF00LLU+eTNA4^cE_D z!BxTWUY0ctB!N7b3{%X)p2Aoq2k|WU7vNdil++ipsS<#@DAMJxU@eUwxfozxeJ;p^ zud5aM3-D!Hj8I}Tpnl0IEpT2&mhN~@VgkmRYnm68<5=vKQT4Ypc9uGtD($2-%dCxF>F3woW*FtB)h#6 zt{a3VIb`4(Fqv>vDUJl)VuYo|h!@*Vtbosrb32!gFt4X}ituO`r1;#Sa4P#8H|Og$ zg`YA(Uihl~V%z5e9Ta57XRX#ZXMA4GIpxy~zJgkpMo=fPkt!=I?Gbea)W-SbP#vS@ z7#r&;`R2qZLp8l;Adkri0;oY;D7ljACX2GNtX3~+b!&i9#Zxu2=HQP%ltrpxQ% z+#x)jFFHl1vZG(5+kL_~(rC5DTi;=RFE&Thv+v8u$sI{FNc5e@{={o5aBen!^M6-0 z|L;^a>VL_(<=d6zdCE1pB@wtvJiL24DaR59rIhb`eI%G9I9Yx);yctkRk|?0vz{2O zh_e5&<-zRExq*)v{SG#TQza$P8PZ&Fn?6i3dRg8GnST3DxNp-NJ&w+9K6N!Z(%rX4 zt)fz@!@>qM*g-$ucK>)%=rDiJ?QOV`q<|ixh#DduB0)n#)y~blKPWWsRr&7OtH-S^ z8MD<-zZ%njS>a$TC4DG;8>Qw$)V(GFe@~W|bJrU9BGZPCgR`N~sT!{hO3aLiV0TKy zR>Xip`X6*-H{`~L=E1Xq%0w?^(@nUpN_}qZ3Mj>%kc7WUX2ztXE4Eq?5UYc9rH_f2 znLQehJ6dM>gR04equSnUi*2&N0<@`dzkuDzx(8J-IDd(W<91^@WnmkaJaBI|KvMWv15o$BV{`~)|i{WK(96A zWyo0ZnL@Oyx8%3X>+pLho&x)1y<^WEE!0{gvwAi#amf6eq!KLn?76C}bpnCE0INE| z<0Upkyp-*?C%CbWM+SPO#FBm|7=hN(xKheA;!qqS01l#{Ku!&B1pycfs+>=1G~#oW zPe?Pb&N4145Z-9BFm|g?YfFk{o=F#diD2I|! z<`M6+31}e~wQn5szX=?pN?bR)@wduhtNCyBYt=IjN_z{=y|VwXnexBG&Y#21oeRk3 z%PI7X0*`RaZBVi9J`>vl5>)dBN(6{ou`~VomlWwhXdCpB)sfR{qOAz=@Rq(zObY&u zLd-0zbR;|5Lw7K^1c|jrZ;%y0ZPIn0?ycIq`~N|0x+NBma9l9U_*^qyXivN*!5s-z*lBekaX;rS?Jyp(YvsH?lvGc&Kb8 zEov+apY~rJuwnFYc)TmpQWpILDvZo`avKwd*9WkH904WENUW?H@1bAsf_7e={2cnR zEGijzPk zkc9u8@rPosaPSVKU5bvX2w14Whdt`p75x&su3RPzZHrYUC*&w7@C{#XG3k6X81v6` zf1*FU(nAwvt>k?O^Jen}zf`QzMGCkbWK(^jwvt|E*w$8(?yFre6D2NM=&ZSwFwf{h zymBT*l9c=ze;xmjTI5CZQOho@^GG0b<<@2&`^TAdC~1ew2!(u#nx&Rx6nv48fL$vU z_l+999jBy`>-Oxoy

sYgrvNXcCx z)YH1W_#rCp5754ULu=UIn;#Xx*}SxoqubU2zWX&_|2c0zHvVVv!h!LxV0EAka0D^70X#VVfe1>Q7}%=YpKi`jhCdSC#B%_jenVWUFo06zLTwj zQBx75hU1aH*gJA5pj`em4}`YyY>;SySSWWd-kY0)Wp(d)jX&-Ry6p)$Sk&sD z^@p;xmdL+0W7|!s$jirKId0N~rw>VrZk$bKmV;G75q+*E^%fdL8_CS#8Zd6zxjgJZ zK#0g7)aO~Rzf|~7ztpse?63&DP+{2|^FY=_H@-jvQO%e^VPKc{GfwyAC5=+9MnZG> z%cGL`6Em?&@0kZyG^drkiU}w(He?FOIsy>Xbx@)~{veoG!8|G1PnTh%4%9^%If6nf z0YPd#Tvw4zW7n-2wC@6RHFFi72}z_gLs}@|RqC=E2WSPR5TtECSH#3W%R*(xn83BU zO>|O|$;X#BC|A1^`tQ);rM^^r`@bXzC%Q@TXI=`}at2-YuPO`M{;YIqVBR`B#CYH4 zXgvgVS2KBmjD%gm^7O6D!IIJO4T9F+?k3UHBs*)%q)zlX=`^H4X%aY@R~D>3m}6Ev zB{pRib41Vvsifc<_z(x>4}#pAvS(?!WIwgj4;$Q#!Sj2NJ=oI2T)lMc;MGH5)VW8#l9jL?Z*?UMr*B8BF$d0H!<}l00`=N?4+$E zw_JC!v|2Dp_MjD_(N4FE7h5b{}C$kEV+b z0{Bfo_&D>>EQr^lA#ThSg`d`6l(I=gkE4ZBcG$XR6Wt!j_aRQDt>vg|7saF_m=Gh6 zd5m^+?aOmX{iVE~9r>SYv=q)_O2$LvYrM2lKH2a-p}GDUzS=t3c946xKH)S?i7r$y zcN`OOTKF(fayx(S^>^`o{0O%n$LoNnR(QtPL%**?6=$r{Q=zZw1XqS%g)2MIY=S4E zSP-LNH_%nbO-N|k4YD1=Cwe=K<;^GDMjiH_6>~Wb_DwB$pFHRQc5o+kO43VjE^gjk zPC5QE!_Nx@>kFd6rb(u4&^R^E;Iub!Z(gXXcg^(@vn1-WQ}9CXh?%>aqb;q0 z0yVfVc{T!%KhF90e0NNzZPYL{xxs0dFjxv@d^Yn7u$gK?f6GNsYOwjj88gilLOg*i z<|f3GUe@#Ad_-zCjKq)1Fi~hT#Ey^<;!~2HhoM=WU5G@l;pV>2#w8YNW5chMJ;>aN z728uiz%}G=E>Ib zFF=f$2ER_=bG}aUsX+7eZ@6njtN?@vm8DU`Ow_&@{dZPFL>GT4jCaOzR&u&(1}-7o zbX%Zm;SyPb9WR7Yf?%A653D#aP6j`iu=hIArltP^q*_1y1+YSUc=ULy41SGism!2o zo>Ebm1RXVUY3w(eyH&kSzSe66`+s7{{3;Aydp@=Av{G+A?o>uOah}S&*5mYjWQMF> z%57N3j@zW+JlP1Zyf^e~0SEaW%(U^c0@!S(%A0Qg)p^|T%^tL_s-{hmpAhKq}o8-ynl2YNsd=1m;mN?8t6&9n%06x|)o!7nqD#nPsM zL==8w6Q!?NX&F#0MjIIQA<}aokV~J5a8)?aW?Vew@O^E&r3H}$_6C&UsN%quAgl6WayblWmo1S;ALftqp0{y)xc(?&!M7jtjDFDGH0*%H3>M{K_VPl zUvUj!&hfFrwXv@6sEOg=LI$l_iDX*l6s7HKFhLbZK{@t`^qIfLXv z9}x>2Z2QD*43(1=Xps*4xv@k6JFQD8zPV{jjzP|TqQFK?a-_!GJLMG5ZUh}yxO2EG zKQ)B??iwNgrQTwLvywxHdyZDPjjEd2;#k^95OK|YvXjw3Y3vbB%+uga#$SMK(T|-h z_r)u^c(5mT=W>s?8od|OmZt^H$`GS=AjJqfgsR;ej}zIgl6aHA|1R0;dX;;ARO)GsDOSSLU)qT7y z=Onyfc9<{HH!#q{>_~fxTq&)*LQIpEHC+R)cuA$BdrZ2ele%QSnm*J-G-Vx2Wu6Hb ziJESP*SDZl{c23lMKEDII!vDW(>-6>KV`xLT?dZ_XW>)3eK;;m#g-og8ixe=fFQ4x zHz{HU7YeCAiCQ~@JeLRrP~qy73x)%!wBmh3_uD2STdr4eUS1vfl~mW}eP3INVs|nZ zPi&)@(Xa$*L)SUK&|{6kC8SA@VABu_+q}`vvhvj)GI1p8^auK8A{)4`%nh{RXBXYp zj73%@mm7>(t?+)7aU73(aSL4-{l-1&RNrZN)2bWlpMC&vy}sHwZ#g^@Fb6%bhO5~= z0J~X}C3mppI9z8ZyPQ7J1+kWs7okWmz%oPPPI?>c>JXU4hhz9o$0%f?F% z4|I2=jk4vq@K%z0iyTO1r8qB9{95$&GuKO;GqbqY>bD*y(Vy<8GbjqyvlA~ArG=)V zEJDe!A2Y+Q61`h7FZJunS|Q$>{NmFZFI5-Zq}NX;;x}nf@rL`T3<7PTz;h`*kTQ-- z{zzhzE*C#%)H@W3j$|qsHW+M=L6lJRH`sO0f;Uo^JA*Fw64x1Ia~?v*uxy8q4O3cE z9LR4r*&ni>JRs0(qs5chO$=C8`$!7)=KRR+1eCi>&9P75{*GBi8$V1Gs zRAWU7Y7!BuJ8iT>Z1jnnkp67qs@XcV|6BFSWRGK0*(4N*KH_~efSANieXu(EE*Inu zYL^($ig{cy&B0d$oT4aB6&|TO13|snEB<&~3pT3U`SZ1oI(?9y+e%SOUmKwIe+plt zzPhVA0YWz(j64DH?$w0WKfR3|83o{Px%?ekW2{BT&#O0KAG|oTK{gWNDRomPZ$via zcsqSBStL4L-O4HKwu(+cx0OEL7(X_N`1ddaay-~u6%>hl?5IG~swF_c2mXl9(t0h5 z^#2>Wev}xdiJJAMS<{GjoP6I?LQxYmM}cKl<=|BbEW0V9m4^i8*N91ZnNwL{0Hjx{w=WC`{6Z=ehY(G=vq>hP!RK`B*fjC%QbKPk z;WBziq&)=M_evi87_g9%JPbIR$W}wu#TyUA#ZIG*-n)(!)0vuDTpJ{XT_se?6b$A# z)noX#P|XMBwsKyMI!rk1a{mP}(hz3Hi@zcyyox(FtJLwS-C-5&Vy`#j$ZXvHQVk^i z37AOH#-a{n0mx>uTi0fT%or;r2@*I@>siIUKe#Pm@AP9=myY$DQRXW~iR%OxHFw(j z&(W9c%t#Dn%Br@zG!DX5xG?$455upiz+;CiDaW!FbNE3l*dpQlOY}Z|MRPG_ZhD$; z9N(Md9LIfC+TpR-2RFU`l+PYd7!G36IL6zO#nka5twf4x<<6uaL=$-%AF{Unvz|Xz zTPHTr)&>fQNq;Ai)FrOYl_gAY%Vu)OD|&vH_RCk&*Oc6nbJ4a?LuP%!rr%U3c^B+= zdXTtm63I>Wi8ZycCmdeuN0FBZazhGlLCD_{JJ^Fv4NlpP$@bo^$fq;7=c~tFJfM6N z`Z+$OkvfQw3hP{JKc8=EJpP>51P)!k^R2LhGDVkmlbPot6<486{6(|w*g+}Qfa_Rh zK$#1y2Y*IGmVTY_M9S{R5Z$#~;n}E{fch!ke$6e%EMA|Hjt>FdWFV=LxgzLD^kv8! zQju3bS5==q+UJxSrNq$}6d(Y3;)OzVl<+1^M;q$CJuId{Jg!WBvJV?Sbk_3Hsf;yI z%`kDbMmFPg3L#W7{9j3Wy`7k-pjBYC!_CUMep&94sl78fj{6loNyx`tR50SAJ}g zdm0@0FoG0%@|oO98n}e0WaQy91oVhAF95VLJAX%({P|y4P&I8(^Yc)6yT(tLh0SZj ze~Ypa2&XIyWLeE-0?BSpJ@N%oSN>Ce8#_{?`ZsVrIgfC#GI(EVE3Y4C5tK*`){`?U z@hseh65YDFxVxcSmD$- zo%JV>d@KzL%#2k_DP(KsWxaPL{N8uyTdvUMvhKTGwe*eD7d3pVMos56qZBdE(d*(j z$XRtE7fa@?U7xt(pH2RbKD->h;i4WDwb&DsGb7l_xPbdeR!{ej#Z$8!fEhS@y60iao*UNRBE? z9+j0wD{Gb-!a%WOyy(znExd>xvuugvY!tmLTVBQa+- zQJZifQsC#NhJ!4D2_50Z#`O>P7qf2{XCuuLt(#oRG_C&!s{s0 zhks!m&u-Yj)1VI2n51(0>LfGKvXcacl;v9*8ovO&HTQ$7zkC%aOx37!!8n%d`j(j* zo7iywG>QuzGQ(^jj2;AaC0hW?*2*92rZtR}{1DTyP)Yj|Sh}1L`$9r;w*agUlczK4 zF_0{Q*K28PQ6!No83)#jNr$Ja165n(oNn9=&+KyS!WDK(otuYFi4dD<+(ZCBp|g1T z-O_U{BhVy)SG{<6SRb#<7mp$uV`DdJ(?ZEP7B?608K zp(!7kkMb#2h)le+fztQ*_vnn;v^@t!(h7FW2w>-D`mdiXriDb`L!kQ-v+;TA5SDTUr9&P*qZxN%Y+tO(F(YNSt23 z7Ag2~@6^{y;#vqj*sElm{-od|?X5IorBho^`$Q0`d4gsQ$NmIoZ8GE>(CXxh8IHrM_*<_-T%wjbG^lP`LAcb1^TwQ)B z_Wrz=?Qth>(Ph{hkTqzJ4%pr!v2MB<`e4p|+z|1JWs>8SU4iJ65ed?PZg1W&2E~Xj zQ}z8T4shyBw4rbEr9&2_WgmKaaBlLW1?wev#^l+HEx#xCBR_Ahw4C0#uXc)`RCc$m z@_9Ykit~dnGH76e31r5g?lZ=#*0P?>-Nv2H%(C@NU6=lPhU<@c!E|jMu^pfDjw;YX zm}9ysF5<2JmaAI&s%zu2Y7h#x$8STdz1i556nQrQu@8k!_SpToe}>)=u!;TK{m{mHL!owc)u zBRIYxM=VZBynNQ2mM(UB&?G238e(8M)OFa3y5kr8`7`~{Ui^!Hj~R5wKjLnypO6+nd_0OES0g>ANo6+y=f!p zBIa6j7D*{yG9SEcmXxd)95Qq~(b@jmeaqXULG;ID7xwOWOqy}-`Mgmad)0ZPNSrSOHMYn6{l^Ugnm~TzzVQ$d+*j!?4jfF=^!f{ zc9;5q-CCwt_*;_HM^}&5VOd$pP!OJxEE1z`TZ}hg8KqT`cf&7CjJjl0o=9lkLCu4E z!HrXTtK9L`{~)jTKvIbRNnS-8KL<8Fdi6~DFhQ}_ojLYRCw$Ru0uk_04T175pvmRJ z*7UZ2$BSc3Rr&0W;u+)ZL$Y#pt28Jk6?T6o$9W2-#*|?mNjv2l1cBZN#>D(FolP_~ zt-Ry3b7}u()E|v;=45wDIiI5ho|y}ZPZ?o7A9xl}(oadWTrz+j54Zh9_f6kA){hf8 z1%eX}tm_XQV1zTd;@^vFlPhMgu(R{ytMV?x6gPb_X!9UL%0FaEr9WmhzY;{stgZ^p zzJYcbmw-Oxyxm%ff|eDgm1qeZQP=wcXNa42x3Dc7o%r3W(HJZR1wGnQ;OwTX*~|v} zKQURlUm2dg75x44Xv;TQKEizplA>h;pSDh3hwWY3C0@RwK{NHWrDs*eV5&1I`A?HH z_1?4|K?#`KrHBieo7<%wM+ZBA9H(Jq{T;_CzUPy@*=;Ac>+t0RVFI^!a5=*ZYf^Hp zxem2a{7B^(uT8$ar%g|hI+1oN(fgU$Ysj8FrA>5YagpfStBB59hOKKAQjqc2YcGWZ>U}#_gYhx5>EEGiOHe1L@A31>ZKffpzjo zs7qcwS4!opC$HG!KK+t5sk%E-oHi$IPS!rb{0DmcdQ1PCyV6I^@~=r2ydQ?f(_Y7E z1U{GebU)-gH2oXp8Ywm)Iq{F*uol2dY>vk&NJdnhd#QK)#DIY#8I6X%R@m}KD zrmTh1m^v6%!byC_TWml{TUr|UOqk>5D>6(`R~2G|tK_7cghR|y$e{gHx4omBWO8r@ ztFCQ5aYS=_dDWs((ej6*)TtXtrk-5=?Z|lC9J}gbH zfO!Y?Kj#II&d&iTY4O=9XMgR6$DL&zfao&C0J*SEk z>l?|{4965u*7>QoeLABDoSby#FKHwbjZ2U!4$onwdVLKZATJ%nU8bG#=0zCV;<>Tn zt6u>1jbt54cX&DzB-d>vo$3gdNWW0G$aYb4-{YjqG-g_4%y9f7%5}O6{fdFJqNW{0 z)~jiKN5UH=M!SI*rN~)3eL?Tjg^GT5<Ew0`dEwLk~p9~jL>m$(0JA1F-cC5@OW?Oh79&1lgaT} zHNr8c@VlYJcNls)q!#>DYyj9NS_8H*bX=w zl0qpI@}NSI>NC;MGsC=N7ZwFncluoo&4(O{gAL3qVvzdO8>0wcBL^N-EV%p*e>P&%kVz=j}?1^0(S(?7ZP7IO9QI^Gz%Vu5KAYu3-Ei<=0p{H49JEHQfHW^4- zFrL;F!N!$%D?;Y5kULF3Z^a4RZUQOWE{66|mKF6xaN*(&=jb~DE)&$*zALA!ZGY5L zng&&^ubjg-!`^>fTF+iT8?56qrWKv#4rp(Jm{&Wl(ZlPQA}G@n*;J=iD6X3u$JNhC zg=u6A95bf&#*g&O*0v-9rBPDJ^JJHbh1hiObva`qq?LK~X}@Y3P@ z;$W$ZbpVUfI@$~N+{X3XqM<57Vx>6NJY_#emo)^c-uZUEvN2-=Yj&_gKa7$Wh}p$3 z2ISj`V zd%0Y8^s<*5DH{m8K5yt5nR?2`%JL9Dwt7YsPLD8%EXDXjR^(IWUPD;ofrUM!H8l}$ zuH~8IeXL|`vg>n)r#*;c7XJBVl%l-K6to6wZz#}0$7V&ndq~ZD_$ey*ISVmFm$P1|T?}VX zmAvBV$}hk{5nK@Mw4@e;+v{vxbA!buY9d@xM!JWP@M2R|CAt-??{X_ssX5c^1;a|S zyerml)NgrNN^MX$_-vB@oB0FhcPcPv###@l)dKM6-l0LGT-9HI9F^~*vG;YB%}qM$ z#PpnPYoDp>rOa+>AoG&@nND&qM$*zxXh-OhoM4us$FkEBcfoV|f$K9+b>?eP`a&h^ z>yf3w88DaWRDTvb07%*ss10HZ06^6ld>on^Na_@6C(dV_AquaAZN-;}SP5fe7$SyC zx_cFr$B~(xPRMC3?+?jo1&!xpBV^aqR4=b0b3T8~ily;?s`#-XkpRR)G4pzf5wOk~ zZFt{3_-yQMJoG7&?Xl@(dlDr<%2c)WZK*yo^i9uz7YI_alaZ9!(6uDNqO|$WCPBD4 z1s6Kk_jHKzL0sOo*PTM8r^t+!M%!ucxS6SkwrhTUN~uw)dD)dxiP))wCDD#-GesNP zPA4(0RC=uzWV8C2RO^u6j}P~U3xt#FJ~m?D?XS$7h$^eEdwPTom$H7yj1;K-*hB6J z^6wxEl+kfLHRQOu+v#IV2*OVUN=ZcwA}acZS>>*?NV=2*1H zGjt&a=zP%C>6?^|4WkEdP5!t9@x#T`PxCq(YhT$r7fcP}~_K-JH>>HN}IP07EXo^Ua-{`iN0vUv~tv8X=? zbRDSKp|7uU8R$Pqi{T^Vla+Yl$9nD6?(|9=6WJ>eH{q<#E1VUm?wP#r7nXbQ&EK+6 z$%fk@*bT-WLyMW9vaq^JMp&ae9?l1%l#;$JtDBjbn9HQ^Y~R)K11+QjrHY}13pA8& zMUo=c*tjF|uMV8frVIbZ#L_0e?I*L+g?i;cEt3UciX^NWO@VDOHj&#ryh|Nb zsBXWnSW%I0FAG<-QnMeXDyKi-Uwvzk(nJNkcOuQ+AzmL`7Q?mi_P<3!Y)Awy${yBQ zbuag|FBx@-50bhSXJw8a4RqK!E&dF2PUrG%a$g)!HXgj;mX}SUV*h2J(J0*6s8kOe zicr&)zz>MmoN+;ahLLyFw_viU;RXE}3F4uutxE+e`JVRK&_C}p308oHHe$08|yWH%5%o^`Y8*7WrUX@g5;kXosj?)~87|IgH&@*5+>1nRvjIqJM zdGGW{e&4p=^82F6Z$pjbUQ7JN4{gq4@Aha#$#RcHL77*70q#hx%MN;uV)45&D{)Yq zF>2eJECMfNUxzjb%aCz+Of+K}Y8nIY%}!}%@9TF6g8j5h$UgCMEo*w($13!0jtmzu z)YfDPl`OyFfuGNjB(^EUbR7@>Q=Au3f&Af>>*Sj2{ym&at{6DEj~>!`Zn=5UK6olH z_S3YWr6{&*VULs3{NKK<=MWa6hjQ*z=qcqgvR-OLkr#%(c_X;?*2ch>OaB%ypki#SEEl&dm2N z9)5goDeTdsL)`>gm3$)$C`pbN8ZH3c;w#rsdF?pZ>)ctGz+a%aKPWTHU?ohF*wQ?-k2$KZPyM_RG~Z@@ zg2*Lu*YEX`3&PRkX0ZBgME|Ep|GiniLlRZ?Drrmh3%TwwiUV!4sL#S`(0I~iCAHcCtYJ|eeZq5~_0S1oDy02!DGwiRN0%2kx zcIAu*Om6szVI4~G3g7=vR)&p5e9+kofe#;kprC5EC081SpK}u z&6)s}Qg{wsN>2!8BN12m`et@E$_MOq|Me>dYvbWbNzm&tE}2wu6m8-UHZC^1gB;ao zU4lE!D;%^gZ)%QDY~J}K%;rzEIhE|)yDsW+E(Tb2e2$c49W9!?2pzoExHqFt3JUj~ z(SWfdMY5B_^8r9kuH49aoiE>~=Mc|t^Qgy(U5IVO7J&;f2d@-up4@B3(X${sWM$a- z`ccuL@pvH1Suu&^n4dY2!s>PZfRIEAzvvatQ*IDzSjobJz3+op@seMnpAg&^J**|^ zcqiyXCGgM#*d!xN&2(-HUy7#dok)q`U%uw>T0xJy3BHUq5r*%)005Eb$lfn-cS@$^ zp#+^SekaWgRn_KE%*uVIq0F7kt`#GNb1tdb`24m!)=v;3h+p8jq@rg>;fI-YvQN*@ zF*KE`5SdttxRS*#!{LObkMnR`n*NjQlk(3Avtzk8^PiepSaoRGL3|AQOEHLV8NJF` zxFYqZa4B?x%pA_k3#zHstt&6z{38Yd!6^!*S%;BPoNky(67hWpL|sa@T90SGRLsp! z3{|_}`1f0n@}9RRn$D(DaEW(2QYfX-Ff~Lm1z&ylLF^~*O$fc+EcMLg^y$(H5(iJ-~kq%ktgNa%ST5T=l)xIvdsgdt<=up_EcbM1gmo9ypx1Abb zi*zzYn`_=rObBy@SRKV>hQ@QL6+WWw(poE*CK@nXS2{Vp2l(Y@=l3e)6(KhabGy$b zU_g`*a3UL~g}w!X(Ci~f?|U^o>vNB3y#s2#S`NhR3|MofZlbzKKO)ILl${U!ZVVEa zrDqPTD}S`?D(WFTx&`0viHrV`zAUmy`=yg&*%XYcO1*$HA!>Qp!mKaSa7V0@5^Ef# z2s^8mL6@`++Gx`TS1eZD2$HZf#9pfL7x+Ykm#uHt+Dnkg9N>L0uPMK{kZxr!MkCKs zdZl3O0;oE%?DbgP5Xz+FV>~_oS?1Y8v8+VrX-vBW`L}1Slf$$Uas8uI848~*zR=>j zC!I=a+c2|iY0tu2D`lK8POM3fZ+(X!xQIm&2L$7&0o7MezHg9t34A46!L7MuDa~Vs%KalP}fdol*ie|arMLqcHI7&{& zi?*&pGtBe;b-N3XJ$Z@G1u9tr9J}(GegTA5dc!Teb)kr^m}1$v6fT;5IxcJ@vQ5Hg zCbs7?MA80W13GHl67R@}OCX}73$rg8tF^8ZwBqlEx2VAcFpKyP<7g<8rn$(fhWz`E zlE`DXb3EI_?Z@KrOLVtq{RiJ0S7k3r_|~QJ?Q|#f2D6dttT_6NH&*BSC%$00?ftA1 zcFCTmOCCy6l%w4j!8D=TlJ=;P}XE0Q>w8s1$IlW<6v+G^tlq^?HLbjCShRTTA4EQTIZ@O zTdHNXn0Cry_q^jMIFpJ{=nH%YjjIejv)bfllO*ZhS?c_<32J~F*~tnSUle7^o}u{5 zK2EyUaX)E8;+rpRJIg}{51o|5YC$X85iUPUa(S19i-0P0`&ZB9arhS7Mf?b?N`A%j)BX3+qw z9i46K{F1YpFxTgrxN5Gm-~s3M?ps~d>)qL5r$(Oum#BKNaqZnXZ;`sb?Dpa&UK9kH z3Jh=uKUqqMQRCuyihJK9dvj^rj9MsU9jG>3-pA;TT|`~xS*`9G4M$mpeiTk#Imw7zs zvS%9zZL*!VJn!f3OrnYgYOl)fkgYew9R4hA*dc%`H&~uIbm*k?aXOXeox~$l z2Gtz88q9#Ulp`en1qhPcZ*&9c&{#z?)dyOFuYZ^GZoEu{*Z3aB(^oNnJgMv+MC@71KomTv_hym zsX_|dkr8&R@0Qs(H?9Z5KD1B4t<|G!=%1-$NkZmy4E#<4Xedd7@MmQR&RGMkhGlNK zYl*+8&rFtMv5(6m22-2CXZmxgkWyYSqD2CD&rMYcNlASlhfZS9YxF-@czr?M*C9Tw zdUcLJ0vjB>=YNJNyRXLffxrSRPm!)(in6O*#Xt~&#L#YQr?BY!`3$+Y)cNMD9k8#; z^woZxJEOBIZyT-zTdp;(x&$8EQ@AHS?NVCNSA#n$btGpsTNsp-NuGhA3C1|6mS_*D zv_z!eq;sLzO^QXcbf^gGy1xWH-Sd^dJ9iZpt2^#Q3=ogH*e6W=90T?(XOde@ihKHf z-@k%f>6VzNIjv8jDL-?D4!1R*PoUDC5q+u<@BOayE&rQD7NqQj-F#fuPm62hCmHYA zjJs$xA2lNfEX&-B1sTB#V@0me2%+!=o;?t|N!RXaH?GMy0)a^XN^x+5#zh%nrgu(j!*lz}_;_DGz+;K8d-)=S zZDpBZ?ZRbb=fmQS*wsFoG)Ri6RIA#;W@K=$x;7X>?2-31EY8{ycYA8wgZOnuYb!*= z@u&q8&YURIjG69n-=;0NEM$tDrRc2+Nl@d?03fvGy6L!>1wM*B_8u#K^7&$1zntjT40-RS9T3wk8xa5?8)&RCyM1I9p*URLGB#`Q=$+ft$f%$PW$sE@ zsya68?Dc?N#7Y9$;Q1jpTL(SfzW)2NXShMz@mh%E3r*v_2g}QjIGArAN{gPKCiK?8 zK1b&G-7ftyasy{^M4s#79VZ@x&KS>;7^ASj*XJ8$f!MppRT{Py0~wzKHBtSvEfVbJ z$$h&*If~?V<+IIcA1z7DkB(~vu)Y8qYQOc2c!m%D9<>}lY;6=1fv1>ZD3>^jI}7{@ zPAdm?XVR9(6E}3dALJ;8Jr8=Goe$xrX9!7ZcrMup!9>-@P)m*1n_|+rQA}i%4NOZRXBmW8)>6o9V&|CQa-`53@g@yN_%O6< ztTp$C*_4&GW{Q}HK`P~D_GFvh1mZiHS8`OV&R`pK!1FABGqg2DJI%N-@!8$_z zCgtZTr?k^uHoS>PJe>YL4W%+|Yf>4yuXcNh5ypEW>lcXd z&=y7f9CiaUje3U)>t31!8JMji?1E0#I5c`gT|-^xu(Ykh;{vD5+7u}#{Y7QpF=J2P z`GpE*1vW$Sq0z^)Sf41mx=1;m*`pvMUO{m~KUUBFdLLKeMzF+)MgDMY6W2VQZZaM0 z7&c0ynW_p~r;Y4=Z{dks(8Bs%Mud=|=>k}#LHwG!r^&zJE7D+p#G3ZF|3uFvjqPGDA*)JcUI!u5a=ssf8$tk|t$ zUU`qa^ony5ThRjBHDg|f_!&3>BkU*k3^W?l%Vu_~jUQ(`8JX;*fTGY-y}sR=i5oX{ z!M*_$x%I5I`MO5KFyDh^L#eBwv&6elj*FU5WSW$g#Pv!(TP3`e+YI~dQS`Np8S1Ry zGOFf*i!wV7oh-}HsF}J6VH@HuR2hb|gQT!oB|{e1%_l;kdD z`&{atIrbh!f1emVXJ&ka&{~Wj5{mq0`&9_q1NEMFvv)4zl}Il^J`#KjF6EHK~f_3FAhB;|AQ?sSett1J&#dh2*{@a zn|_TejtlR;hDYERN43&#>9zy>yR0cbSkI2-5e}mZ?G)Zr7R>CecUJgazzZOz>@#a^EDPV*qnJDm;{lwJnxBR-f7q$Z!_U_0F zTGE#2>V8|>*V({F|H8ouXJ!)y;%mC*tU_f zzN>l|&I6Q^xjAH-IQ^xB?>pwb-(ERO(E4RpGA~8ov^+$EVBI1d%W!C z>NiI|?tL7R!XcEt*0Xcbs&T`S9aATI;Rvh5EE72Pat*QxwgO2AWJLdp*A?q%@X2BG z?>n%?!4|~2+F&XqYUAJT>7+RCJTkW1TTZ3hA8jaFp?#mxO|vH5@TN4GtBQVKG-qL4 zyoP3BdGO>5V{N&ohRXMP$TM|rj4?cIWc+lBkF;ZT-u#$zPXpqnT?1nn4OB?fx2)y( zI&qy@Je_yw6x4EO7_$%UHE(rVM$q&~wJg(5*t~hbd9U75EQB4KNdJTNQgZ(6ph!h) zEEDhVFL{7<7ZNS>w;(OeG<%h0MMO|ak&n5Y$}=2$x-MLNOLoF_3Qv})w&Wx9sX~m$ zW5K4#!UuNd?W^~D!Pr|j@cE);iGUJ#JK2tu*x`rmH!*#@F7XUm)1q--pA(z*C`IqD ziS>vG5ubvd)^~^l|B#;HMH5n(qF1mauI1xc4OsIzv?Z{u2K0Bo@((>Gz?zJ`73u zSNJrct-fuTip`s%$lu9R`9<=(RlRwOPf+4nalTq_u+@-*HhY7@8186?I@@xAu>3_> z$<(9yfme_GJThbKv#ffZ{m`i*o1slyi$EZddpU;g^mKeF(OIc6xv}Vh+W1c9a|0SZ zYfY%N*vMD`Eh3d05rc2V4X}$D^$*N%7!7PTH)Tk&xY62tsx{qXZm5tAxf&7>*CirJ zI_}|wEK9y~lXnmQY`d9PTUJsWXhza|)H_V#=r23`mH|tB%IPi@6s2%B061|>N7?Hi z{5S(<-X6alTdY#B5UY+@wgp;dO3aZX-p4ykhwX(UCldcj!csc$cQ%H0Co$DMz z0sAk_NJ@vl#R(|wpDoF@yi2ck;M#S(W1{G60Cu!WrV9hqz#}0IB0dX za6d8naJ#i4WGubC)bC?T(4lb1fS&!jkk{$_U0D662yw>rH_7?+TjH zxe>YXfzy-w2|&E`ovNl9-Y|cnN45bobV-&U2|A&9#o0~7Zs|;Baxyd*%*1MjR4*d} z96l$^m$1b$c3AAjR?M8gbg(hv<*RneOcg>33AYx3t$Sf~ZH8}+uTxe+h&~N^svO-I zk=D?oqHTgq$CmILv*ETzgG+$|4HPXFBv@OlXmJR^gB34U z+zS*aZpA|gS{#DAJB8x3xNEUetQ3k%-;?+I_W92~=O26XUhIo=@#i8}84R9h%&}z7 zwdT4d#{h${0zEvJ4OyH8F;5z?$P!+b@{cmZ*m>OOjojj&rX?QAP<%Babn)xhF`jiW z?mUG6M{B4SM#7iE&5L;WG;Yelr!E%flu{U+AZzv=4S4VR#m^`#B9wH8hW^jx)dx9m zL?RWT2bX(XZ+s6boQ2A_ID9Y3ANIpsgG!f_f<>&0$^glWiO({=Mt}dUf%N)v$V2ku0q-_lBu6CVi_ebfoX7_*+fjD#%Si;jBsST}+bglXc_+O}-4FI! z6Mte|rpNAH4Q!B8e|rc45WU_h-;vnUnv&?yx4;}8UTKa;rKCpCm((WF2)nLYf2?FD zBp{H!lt2gy-;1-7P7n5j+Fvac@8}n_3Y;%MtHrUldU#l23KHDJQ&`o;Eo=OPQ=8uVMVB-C%<@Ccm)HKEHS;!=}GW zZ5U4eq9grgNF@iHoI)wKWuO%@SJQLDQ1td%?5 zm=%RsX4UEw;mx|_&lN&NxAjUzh-<<0@N?R@JoR-kadPy`oWK}!W zw)VJZRG*ITA>))_dT}Hm-{3fR^!|EqH9XSY#ILwqPBv=LEY67RmK}jC4=54sJTPvN z>e#W8_n#g@%xf3wE87v*C4Y4JZ4k7jra7sAw{;iiUX0JJAiM6-Th#E#uqtagC8sYd z)cQ8k=+}^-Yi`spaSOh_rgf|jMG=sY0?-Sln;P)(&xi%NCfzR{+<5dd zA|(W4mh3E`hm8s?{!hQtic{wI%>}Lq_&f?=3~P5Zxyb234aYJ2ONwjZ6lFhA&DpM8JRq7p3+McU3x-aBUDlp zcT3E~LmQtX{;-x}R;e&}2_vUEX^&D)_xZO5ew=HOCBu`>1D29nogv9L3M zuV$PVM>V8KhJK6gAB!pWVL&9rN10+m^?YagZgj|EN5V?He36u zs|+i}%LlPJ%Ce@OFgQXDBK-NfJb{K|O;y#SQqzqyOAT%pCR;*8D32t3kLdxezWVYj z#FVYUA6TF+fut}V)cI?NqCRJouk3CaTS_`&#eGdXv?7HNSV&n*h{)}YQ!LNUv?O)N zxVtf6#5A)86H1G^cAgIXg>? zR5rz){XVSnr9H_i0#9)uSU5NX6xR>9{3M#aEM`!u`)BbCsM3Z@TyLZNQc<}~=`9Hq zbgCZk8t)r1#F@UKX=O247wF2|>)E2x{Of zdMn9U!SA>Dt>&tHH#9`K$(5Rcof#a1e^lvT z!@0lpM}6MtAAqqy1>?QYe9p7#ltP|$>jtsa+1zwbd%1T9v#m{^ZIm`y?RVwBsds_T zEpi8{T3D%;T6>7tS+w&c?2OXc!LBlh+JcP6IC;hS5Cq=VR^lw{xv|Mw&5~gPY(Dkw z3v|ayAg?-{dZQjhiZMLx&5(g{DakBrp#h66aB|A~oW6hZ#I~^TtC8;H5)aCLqrkIB z=L>eooGHyL`;KvsQe|I#0B0MEx2oY>m3$=bg9~gw*#wOOFnClOZPE~>>!SVg^3$h% zXiI1LoXc5rJOSnCXVRJH92)N8?@}V#FebC2YA)CT7bTZ%rcSfh?Hye%X(#(L&y(}& zClSH*tuPp!=^zFd^#-5oNp>dxVw-2B$^LS9H?p!em!vgy?_Fb3HlKkbm+7zuVK@5@ zV4vv&o%Fb`;v@0ajuoV`*rLxHn2HZK0lytJ_W=CH4mK@zoODy2x9Zp77w(3OFgeO( zqkrqGz`XE*Zw6e%hErj;<;{a4%}pNe=zYJHL6%r@chyw9&#I|z<0oi2`5R_f>AMF{ zs$G{s_RLDdw1pD}&k}!EHj&JhCUrG-$Uoxk4soO*A4{0rn>5BkL`pnbo5#4s(j`Ao zU^g63mHkDJ+LqFHRyKSkq{;LCk>N)$Ki`Q?G95j`6Z{QA7larU)+S)r_T$f4>%G_^ zJLYxuxDRXc-6mAK&Ub0H;FSZULHL1;-jP!w2fjZq{o5ZlGWB0@o2_wWZ*a4C9geVF3(r%>(@ zmpV6k%WO+r4XLYYl*L)>QbZkJ557@R7j~@lxG9={vpAh?hLhSzuvH$-L zv7#>;YS}bZ6$90aoqMM9f_3-()=9S3r7&TlPo_e$>f^RoV9rc^p{asr4adn%nv_^j zS*tz^#270_5-H&76BZUt%|EFf6nsAF>*zZhaG1AI0@DX4L!4TTOj+|mC8pV@0Dr(l zH{f{XmHu{06=ybD*s%SVJ2mj|&2DW;u?k@WGsB%N`5aS5LNYCG`MJ$8Hnoe*~_1~0`&cBL$yVXAze4b~1avdFzn`cy7&sQ|yN%;xG zDWR?8y6R~6>4(+*r8I3YN2nnW%EImNU_L5voR?{MD>?b3t{W-xeHiKb7vP~3xxXOs zREx|g1v~oBmgd8jJkNEoPAv6u6L@BUJq)i{9o&AD)INmvE2y~;4EXJ_>pfI0VKf-6 zOLPitBWz?s=xd=0T5IctLMtrl^AX)3ufVs~FlIn{M0;0~7fDUp4=c4#*1HoRtZmn7 zH)Qz^Ui>FvJbJI7Vn34(wWYHe$LV?P7xc+&5sR+HwG>Q&`@Al&bXSvYCfP{pp@Y5X z;s;+f-=bgLf6|fEN>^klUFqK*J2t^lbk+clMxd1+Kn7kkP6!!ff&bXko8~y^UHcd%uKUp{yqrPSu@R2UW$FO{-U4oI zA7AaSOMCBb%g7>5!4A-DzA1BeVV}Ua4xNN8YbhPZ1Du>kwX*p2DBf~f_19r;({G(h7DZmi)LeUxMw3%w~ zaF=e&d-Ax$3I)R1UI`4Tq^agpL1#u7CNtm|!qlT5R&y2=OYE4<=Qs_Y>)?E3Vg&`dkfd~$E$yDpwoWERX1{XKqqrhZ#&9QQeFY_l!r(e{L$}%0Oge{G zPg0HM70oSDG*+T0Z$=0lpU86qa|tA3*e|%cJ~4PdQh7piSMuN)qt2=rTbr-{{G^^- z)UxP;UGPR`2(uxMldM4-Yb_9O?45=NUb`(J@s3a-_lK&Us)nf3pr@bBCo`Z7^xluc zKwEKfN_am>3Osd8)@uLv>JT7>$ECUD?}GR3He|B2qs!H;SuaK!fn>i6W9PYdwI6Q#K^EqElJHFYG*OkyYl;0 zgIxEk-UyB4JhHE^GzrvMpG0gMXMg(va3$8BL2f76WJ1=2$~wyGJ}g5R3Rwn7xZhNN zh7574Q;U5_x+InpS;0s;Is$? zP{Vacd7W7Sp~MwpPW{L!_f$W%O0A5Hx4Dynd3X(y3|N~d{vI=DCTr@24YSB{mFv&u z%~gZQ>IbQ|oIM%{7&5rBQ+?|$Sj9ffMQW5QO6GrnAet)H@%2|Md@MvZpSnH$!aS_= zq{mP(K^ccbM%{woZusaI-tq$aCnJtd^2+8G7tgsek&{t9RMt`B7y!Bo#e}O*3?V0A z$%&Ceg>dPb)BNHW8H=g4%w2??vs{zdUBuB)SC01{TWY{Wgs2FJp;>f~ol}f@&=+EVb!poNee*x}?Ew`Uwn4(#m{rXR~!k1qniJvV!pC+Y5 zakHXVUJahEw2@e+z3Tc;FN5iE)&ai`h#PWyw zatOhKYli;6PgP`fpLuAXAj+A zQkbkI?1p^}^<)$AAfC^4Zm&+B*n)LKNfYOL0^HheF)rk$@WQ+7WWL~2c*PA>uC6r- zBuy|kku)0QG#9-dOzt*>&sGjOgkk(%1p+aSXk@6< zBDbz2!jg|bi#a9qNqS8Ojk8m?K)#L5WH&z^?t?C^wiVi7w>0zG@t1{XPRa$4t3WB%4iKK=3ePp zUy1_gWOW3sM63+hVK2Ux9@!miydcNh{c!v_n!5biBp)=fPY$i@8MTnBsWrE(x*y=U zG4+LLKEdi&Cejls98ZbFZuSPtl`UqYk4*z;Ji0hbb~}{oDwW-sCuUul+1M&=uFM7>ab;r9dn`dc62$|a^=Z8(K|FqyQ+03@B}w8RTv`{2(fnB zIXJLZ1@`U-uF$=SI7f?%>Cmr{yqdw- zuq*L|d;lOms;)ep|0(TikBL2r#GN4S-s)927&Sq7)SFr+IJeo%{spoDxY^q*T=)A<@zeM8_i1}2th5= zRG&%X5a{trVh2K%0N?1f8s3HJHEsErxtq~P#u>|cau^XSwf_W2bauhdOrtvr_x$-%72> z|59poRE?YNtx=R_vCLFKk6F;Y&g9=;%;V)=67RiV0Gp6M=jH6yugXisKU3T4i)#bl zaezS}zaaW=7lnIk8y=PGp694XT$RZYPd-d-CkYk)1z0E{F*6Q=yOFqgNIbOaZb%An zc8C@sMtk-&J?ZHGXrM9Iv4bqazy^VZAqR=S3+y`hY@C#TXeIHv3!czKQ>Q{@)xNlX z(_RIBhXr-BDvI3NDed?=?z-X93K~tnxV%$dc4L#!9WH$m$T?#`uYt)aMF4T9-XfG~ zvDi60pNm=>j`dPx&Z}u|NFwG5f8Dz4H5eh{{XY8BkSQ|(z@nU_fpe|MC2rq4kO`b%8w10a?nxjWo zN==#y4?c~|j!*G3UF@oGqp9Nn-^?@`l*BjeOF|-z`Okp4L%1@_39~>HuqcNdKy`cW zj^-(UNAi`v<%G&j|3R^m7jY{+^#&rKut1nFBUo;;?s&~0z3X(PN|2*aK2%UGYsJ0h zz{qi?zKJ}kafj^_4yR0Aj3uc;%gPOAC*^8_h0=8%kyX9=Yz2zVkc3AS^}PpILnJ4T zaHtzk)VaJvLz_xj&~*DpA?2T(I#Hhd<6TXf>qSuu^(;^lYp<_2Qn1$rb{UhUcofKA zW$qI?MP`m3%YEFuAuV`(&{+_Q>SQI*w2!xk5;HjR-y2$ zSrQDE3R$b@6|}AnPqmzJ??h6#J3FT~%$yM~Oymt)@khBeN+S%2w~cy?r0@peT`tt> zL)85xiJ&$#{uv2&=5j(iN%TFeFp6Xisr8jibv5jd*#1&%D4VA*z1ce-z=-!EidKrF6Yol)ig${#GdODb(j+f(xAVH6i#M4-!G>3wl|Qz$bze}{=Ax>< zJT~UNBHelVG~pdJ*OoLRkw?%hBD=%Tsx;3mgLt7!1@sudq;r9GCxTR5OVP zcb(89;s0&8oXdPankU74-}hBk6+4Z_Qfh%Sw32Fx5aZ6A-^n)sFXh5+0*Cz}qkFz}I?;H{ZGzi#)d%lNbq#IjWJ@8p6xcA*qg3LIt z+Q1Luc`oxL++&3bP@!SsC&+J%D8?9&r_$AonD+#iqf<0tm^cGjMh|_zNKRlu8G}PX zNUW4>_y|-`aQrbVU$GC7_hE}I#g*%$ES2g@E2!}P1F$aosxSW@$$Chu*Msx%GSezg zrSI2t$qa;gQowbBjb|^#rgey3@pHpx%70@%Ax!>drB+t83soGBUjjWGqJf{`CJU{s zdNw?x^B$}*{7bcbyzfRL@#;^|X5IWDB@(}J1>c-@1mSc6 zAyZja1#X-hq2+;mZtAi}#cvQvt)UgRj+`1ecG#iuLjwV~_9+{G%$y!*n(wVOUrgN~ z@>ICqsW!tdJzE^h0s~`QxlzI*4h8JxF~FUlZc59iP7b=~zqPgC`_9z1$TCo|cNBRr zRGeig^v|mPAGP+?k9MVnRZdFz+038x1@@BnV=pIBOq22E{xUv)@)Lgt9ha&7gYYUd zt7gb8&iJ`Vhv3mPTWT~Vv_zw0fSjNz-s%j*%5%&CjW+T%(16OcU>r~Mr#f_eRhKrk z`EsqFm|Y#$9M?J%{0d?#&yK;0@~zFzxcehG?boDsROG4WsNcmr%aSnJeAv)kC>y2G zgUn4r>+qk@gi^J`UjViJ@*nnAi=1CNERINewWNzxAGdS3_LeR0u)iEa1H4o=@%XnO zK{)A?G(Caoef+pq*L``U^_KAB6FsvL{00<+8NYY?F86RnH$FU#{ zl!gqf{L205D{>587W9m1?j0m&{msj2jc9Hr%>r>?N)%Du>{fnTu0AZ#>X$dz7@uY7 zpJv;3b5(*xB`c#OTjXw!(PC)?zn z_VO*;<%$HN>neT+h2$HLn&bvv*&J|h?UGer1^`1BW8inJ)a3f6wRQr(mg)uDX@uxx z+-O{>s>3z%*_4`u00fE{q?5?4_nNbo_=DTLY&cA}7x~N9W=flTi%t9NPRVz$U<<{8 z!xD^%R1=e=KPzfGviw|B9%g~V|E;!#nft>zm*Y&W_M(yFs45Y#gmCmZT$+AQ(#Ns1 zG`*vRE$)3ZhUHYLVdaZWC^7;n5qU+he;ubjiq{`Q)V%KlUwExxoKov0LHm)SqkRUr zCA!paV8uDa`NTXl2N92dzAo969FSO4BOzn^p~kW_>A|uqWBTQ}DNF{oMjl$+FwlU) zF5rSQ(9_vD|AWw7+I~L*$scqPTM9uO;50Qx2lqmHn{ux*5_Txx$6UTQ^>p9j#hFzK zwTUoXm)sjv03r)IhAWi&N=~)zi_$E3M%6uib@RCfIBxey{)mvymGeSmXsi~(NVLad z<*+v*F=VFc_vX|)Atro+hF`9tuRg38Q7epM%)es)^-}&r4QPAGJg~>O?r2|b7Wdf7 z_v-7EuhGE*gGQPv{}V_Yl7|zAh4sNOnk>k_-Jied1WJrqzho5)ubfDsO2d@vwCXKC z(R+;fs5cCtSii~O$FtixX5sciRHawduaM4;|2dQJlGC8~s1b~^8V1J%Dly*Lirtmz zX|?9dC)%AF=(`$be2I9)E3x@%3=?^(iw_=^@flT!!IGWYa)^JB-nL?r_LF#oWKmw+ zQm2xK*j(IE#z~JIn8Z1QPrOE zsysU@SPD!>3e0m|Kwm^~XLp}ysWDvos;MSh(QZ`;D@Wvh=YSG${EByabmMJ(CHnh* zOjn3SOi?`pAN1-h(9(RT^MDy^DF~qWGA6$pj*~)zG=VWtaa|YGQ1jHN#-$38+TVue-0m(z1TA7braR2 zZ)_GAbcIsEkbDhjodD~^f0j6Vcf})<*r82cKc(DneLl)YkRb0Oj6^ueH)CsO0Yr-H zkw=#upH`ko#3;d6i5|B7k;FS~1mhg7;QQ0aAE2x6nzR{-W5$kv%1Bc&P-EK{)Al7D z?YvMubg#4Yld%%`;>|3oQC|#+1hhCXC*Hk^d#eQs~1(I;#^; z0gqf#LLV51VMsFQMEG>gx~7FTlg*s1bBaWeIu)Mb*kmcb!wY`!3Jk#@1i}3UuqAR+ zyx$S_GXa7V;@j3`m(Sfdw3_V>sa+%CAm#5y&@on57bbCt2DY!-^NT6t?iRBpNKs5w zLtk#WVr;SM@YV(bMZui{4RDLR+6)SsGw=>*u-o&}wyAYAiB{(2a!-Tr;|#RhQ-6bH zMRntd465r7PPG^^Q=gfkq1w>|Y}; z5|oCZ8ib12I2;+CXp2X6uhpRlb$!BqLhEiTH#vQ>1Kp)E%aZ!$rHphc^m2TL1!J(- zwEyXnj*g(On=0KECFW3(c9W5Fe-fAk>HFy9(cBqbcE-b4Dr(o+Gp5>4F>OyRfBL)- z;_~$_1rK^O#ncb$AhgB%2&7q`kRA2}ICK96U|0X2YQuEi-8f~gsU1`<*7?hqE3%+m zm+V7SB7nJJU|BA`ro%u<_5;vkf0{uD_yVJ#dCP)tdRB1fMA~T`yQCStE4@br@W}Ep@U%SyHl15T(cxbWn$pjT@L|1xbd zeSOrs!7}tpK<~T7(B(=sFsCML=xxyjM|PGl6}<=Q#q3tuvU!UJC#zluIlrcenD9%= zDcg;#5SyMZcFB1=HQm8*KfSa@7G=NI`4NK@rg7kgzGLmiC;(6f*u3g*Kf`+?pI;Ph zYcvz1JB^+RlBDeJUv_N(*S9YAEMc(zn-Ea+iQ^8L+t!eZ3_BDIj;nz3~zUrC4d+J!z?Lh2G@-}pT~EW&63D_+K*J3%^z!*H%?VXXeV59 z5~u}S(zG8Kr{K;xi~WnaNy%_*KKm)KI2jJ7{5`A{7)%b#_*au*`d>B~vUkrrOQXJk z9$P&?|1bY>La6e@%Zjcd*HGb(==6?|GG~+0R)*d$;3*FdLg|ufdz=dIs8pe1`i50^ z`jMmgnieZ*ej48^m(HYm{y@ZgBauhr7{-akPMO1$E;@fZ4V308mj6y`b=f|-?7Chc)ks1>X}X=YQ>3I<{MW1*Yb*Pc6CoQ<0tD=0H6W2J67ozfnKUW)!tL{r7#UX%0F zveM0B*4TMDqNonx;LW9^X?P`)s=%mnriTalf^YeG^kOeQCkBRPw?r&lg~Xqp-kZ) z^gaKGR)TymSAS?+s?5ys3S(f+C%xqVt`+zG%l49Jo(;?^&t*9N)$d` z4<;o13jj8Xqc{;pL&$33Pv)Gy#_VvtPMXiaGX00b5RH#d-;r)c>a~ojSr?$g+9d5i z>B(QD47Z_*U&RULlyJ^2wH2XODwfhCZn>RxzgPlTJi_ERO%k`Ic-9DZWzOfyAQMV5(zw!rLr#aMBfHP_-y}JJoBo3*Rqfmf0%Ql#Q2r-r_wDeU=1&1XsY= z5=8ru6&`w*$n$YCmA*aS&x|+UbgDo#BP*IjgaH4MRt1^f0Cb-qrWsFfG{lPBCzlRe zTU)P1s#6S-aw9Tpls}>Dx%5EcT8W7hg9E>-?$4@PzFn{NdX^@+Z6&p(V;Uo`XX*<^ z%=${|+vlZ*@dU`a^@SOC)V&@SK6|aT@S&_$NbrmBUO{VNj4jkMe>9ZQcj9wuwQlB9ewhvJl(%*p*aM)qCwl0bd5yzL*Ldh*O9P2dIV zDR?+dB>jq5KIRK6Q_&mYU!2Z_?qI2Ji2RH{0@%VVPb<#^i(|NrwRRRKRaVp%c=PP+ zS78}$j9J-C`a>YGjZf5)bPuoZPWOGBpcNx+#A82BHX3h&+~%);)$V-iq1d1kWpJr@ zWqA6NY{i++@~bh8y{26p6Q=S9eW=Eq|9BU3^U39p48Mkz_`;g0R@)7}(?O3ZGA1`I zj@*Gfs^#_{tW?lJf;(>yxsNn{G2sth$vH;>{$oanmwJSqu+s z>yIG=k-~ag7k|>V-v0D4^lQ@7GgTBK--p#d``rkB2duEN+ky|fx%r4t{qc|p*ljbh z(^QGZx671h-LaT&UMjP7V&y_N;DLnNVb)ZGjIr+jgzHRhohT`04p&X7qF^PsxBUTo zNq~qm&6Jp<-bPp_&)y>SS!=%5<^?0)so}NNBxbyiHF)t4K)u&Cc?q-KsBh5suVCXe zQ7I^?LfLND7JW2>ygbnkJ+F2lptmI>Qd-|{P?83612&I3RJG4dz8B?G)xYuJ9vYih zG*UFS>*fXt-HkAM6`|I+vNq`Y11A7ksYrN5 z=gUA-i`Jyk)Kam%mBHWC($9ZWODXO6|E8AsC((`gE^*!Nsm`bBr1vYxuz}=T%_lAW zA7QW_nJjOp3n>h)KrRNl-TOGQZ9F;6tw=Mjon-I0GBx>g_VBCRz@~!t!@k(FT`0W1 zn8V$@*E_BgQ|ouZJs>=DDLoy^ZF!ojrakm!ZqSbVjTx9&?D;^D);p~M9|8YC1JS_i09+51>_~n5is`3X zb{)@GjE(*1=9Md;7F>~1P22u0 zHYTp1Q8Q=9y+uQ%=l%F={3lYB;Qh&cHujjv-Z}1pQ0{A=oAP(1v-j5w0^#?icU|Lu zfa+bJDwT9v#5HhRCFAS+@Vx#vC+07yd}R6 zZP}cP98xp|1&_dLBBbi-@bWDL{qbv}>^QtT|7(wq7p%J5E8#n*-mZpzpIRLJ-s!u4 zGb21Ep?}z{Th4Rsh5xfDjqgomtYC42B54q7FE@(AzRSim!N~jlTeX*qKL@5>?XCzM zQ~Owo?tXx`fRP%T6GToXZ^>g=EwQ)A0=KES?@qs+6Dk3<3dF=4o3`?Xc;XzBfWZ777m1aajLj{( zj}>nJB&Gp#LCd*JpSW1iYDDQ|t)p>&p9&Y}67*=g)B($Cmi)@f)_{P+;BnaNm|{;7 zX;H_vqnYN4L=FWx$d}sXR}=8OoDql`c}CXIP2vS;cdlqU$?GPW?m-zl)3cke^~l-@ z!?+SV0s^JGlB+}L!h>_^@TD22RZ~5k0S)CTOJ-5+s?a13qU_VrdJNWJa)4X;{iRWV5n3 zF_OdE8@kY|z&0!ze? zW==XJH}P(*%uCi?8r42lXZ?VK!@H01zF(_i8v05@ z!8Dt04y6y!{YSY29-$FEHmSQ1(P#kxj z_0BMWkN8I>o~-x=MMgmYk|RI;(<4;I6e-tQE)(@=>YfXAS0{LGxLZMp)|) z0;mRga1J>+{-~SxlkoPA6(INIlHB5#1=Nza>LC10DE5F1P&fJGhWb;ty1~sH(aF`k zgVwJtf~~C+Ohez*r~G8k6M##hZ$T?iiC?~vcW6!L=^;+AlvNN`MB1Rm?ruErkw*_%=h(FUJ@W0{)X`SIht ze_tjOgdT+S!6tH($n<#N2diy<9M@kfmPPCmykxt6S_$S!hMy#5Nrh%FK3ZU4kuU5H zlC9mCUre!lH5AiA9qmZW!+!*p!XHk)=vR{77rsK@{yw1MSm0h;eaxVpLP_(6wO&Zv z5k;WK`j{{Z=^ao9;IL@7w2?CBI~UK|d%)-5(Pk$9Vri){h~mau@3?$U(zyZ}liDs0 zOV~O=A7vxe3`?9R9F(NVTU7NN9yZ#g`mN;c{FH$XsU-OqfZkL{=ySAVH(H53{^MR8*~QlM?>G69kN~E@6DMSO{$PXp4>6NabyF&b+IwL9qL*c2?vcMT4U2 z&DLoip|ZQOCll=z(dv%M{*igDKIz_1d!X9;yp1}&p~ZnYGD!Tv8#_nCy_%(69tje2 z&!q+q6qLLm4d0dyYV;Q%v?|@3EW=a5|N6t}lubbN5#{G2RO<6Z!%2MjloJv~sDV$y zVJ+^W6Z|M6tAUBZs;_nJm(ZOehpNcu(Gnq7OJr~kfy@$EW)%ONs7z#rX%LV8W9`th z2oWDK)}=L_nZ_IM(&9RX{8F>ap9Jz8K9B&ow;Twb7={k&i(-?!M>NBb=>(xf_Z4#- zc!TRcF!zDSI5{$h6-NpLBFor1ksxMi^(>%l!lsF$T(*uFY)&;6qlo&2dJHgoFLe-!=Q5s7EhNi~T1Y_%^cTGi1gMBMHGo?7YZ8*D+y9 zNwlYB8`FdDlCvJiRUN=5i;v~LXr!>N?LRvC4~s5-Bd!t zI!pA89t>FM(~sdcOFP#8y4C|Gk3hVkV~jHDhXe=l3583(p5x42&YXV)({~QfN;DH6 zM?}HIv$S)2@(7>R`eNwgZK`pc69FBPosS;GmnugS^OG;qJ`tJ>Eki_y$wS4v76O6K zSNR0u`sZC88<+R@<-vo+9z+9ieFcy*O-)_s>@E}4<|I^F*G-gqHYQR~OaD$qocGtv zSD}#BQXad4PpkMf5S_$iM@b_j*eC-ajE<}r>;&CI1K;*q+K+TvyyU}L(})Oot%$9~ zNdZu;>`rpPXay~UMej1~DO+npURDbyjGFSffnT3H6ozQX0zWxO5k40Fbg-A-Vj&md z#VO(I{DL0kO5myN1|$(f+l5w>=4PA=Qeo0QH382_^Vy^KFGOE+cIP^s7BZddHkYI3 z@QlqkVbVueXr{5G@$@FdW2C9T=p-`gM0mNMbiv^p;8CC5mgtr6G(Ck)V~w1SY6K28 z1<#d}iwxlEkJ2rGK+>$pcQX2xztzDuG`sX#QQZG^9NjgZxSQ+mtC<&}kI_!{0(PbU z(*4mX_rw3o_Do zz?N>A?EuptJmXwys2|njXCbguxlzlRVMw~&M&BSTtqIkY0tMpw+}iOJj#TE>BZC{T zgHhN)*+UW-xBKyEUEiv?M$d1-y>a`{hf1K^f^iej*DG32J*2K1^>K;2T zp^ZIKxa@4GinfgH_6GLDm)c*Z1$VyG$(1_M>8b2L*^w<;nx3MIX?T31e7B5E~0ZL#ooePX1{|zD@u7)d#r*{7HFh|~h zRp-`TaBOKGme2O|A+W$(yhJuk4rpe1j#>M#KlkGz;6VT5hb39`?O5d91NOmh%3)Fz zaF>w7m#bqt^l$X+ectlgF)F9n6sx}~E~K>$Z%PR4qB0!C#8N`nA1=PLHG+~T=Gv(i zmYOD3Ir_DyEOFb=Y@U+$Hj{sV4*W*w+KUG|Nmx0P%^f8C*61m+=yNFe7K#c;f> ztorbwMMXE}w-MVClt6VFU?Fp<@~H4S6LI4>V{rz@Z4AHzj`Nx6ICxs&1lAUQlJDa5 z3L3D#t|=3>%<)GE{(HS~Dl`dH0%nB{Nt|VO3chge0Bi2~G)ZWA@|g{vuo>B^G9_X2 z)Gi`|ld4`$s;PIKYiRV+9_d>4lrpb!XMx#h?)YAz3G<8_JxsWziq>qyhp68Op zDlM(wO?^4#xLzN=5(c^POmK)zbmC6UNgglY&Oveg=^PjY`16U)JdRn@UC@{;O|LO> z_Ic5FR`K2t4AyjnQW1UqEV@|(r4wp=VK1W{s)#-c%2c!`i9a{9KlLE+5 z(G$OE`|u^Lqp%VTia=^$+^GSBPhLX9=0bdsyqtnBs8ZC&G^aF>+f*h3nSbbt*+;Oqvkgtm8vP4&td{j=MLE&J62)=T( zK12pao1)Zzn&l#J$AHQ*K;Q(Aaz$>iq>5}YfO zX)3bdQqXAuhHa!e3G4ui-DDzrw3Bdftg)>(^@%=%eP(}h4$2SA4)q_jEV-R`5Ygzr z;7Ws~kcM#5s^)%bf)26r76f@U*MZX}zBsd~G4=_u#qz z%j-7<8G4}6;3WsS`Q#b?f)#>uPOCmjGl9a7TJlzm9PI>n@S#rw-*#75R_gJqmWI1x z-?ZHL*=bw$t#2UX*^@Y2ii2agvp(rcUrzJ;O3_F2yVBa&xrWtd=UQIT5x5j%){>C= z6)i2?Zk7)pI;(|!l_PLFq#)R*0Dg9SHhc2y@#X{XPg1s=?(-xMeP-E;P78Dmyg;5p zTg4%5+dt_C58;TtY?xsfH3`mRG#P~`dKBY6_(|lCKp61~kRt`@o@9eYUj1*rbSnbH zRBU3Od~GL)6oo1Bhs0xaZ2qU|;@1$~QF{BD_a!{S-t8MpGDui>_t2B6ByzxQ;w*eY z4DwS>4Srjc*AuxZgY4B&@*bcAF*Pn?Q#=Y|NC+gU1vFc}d}i=r6{_d5s_jHPLZE*4 zo|8kvqzuDes})UP69A~zBXF5t@vYczyu zz#4WIm4*i1O%rE*F`^$|fgQfiWBI~tC`1m_cXR-Y2RCPwr7Q(vZ;|q&tp0o0Nloq_ z-j=ZKp2psfVD~m&&*hFPS=?mR;qTh0UdG|@DH|7_$yz1lQpT7~D)g&d^E}8^B?RtW zjgEw|{-%be06)7H38Q2YKsEA$Vh%207D*b%b|LsubqRyhBu_%EV_zbWd=VIi1fl}w z=C1$``xSlijz8`#ank5$>2lql{_|~k8hceE9koPN$Ft1~jWpjpg4#9+=)y3gKf%3; zGKl<1vW&0aY&)u4(AFBBCmmdE%Rie9uNJ-{U+P`&d+}8~9GCxMn(H3K^?dR1O7wtH zzMg?;iFw^yy{i5L*fq|c{xF)BO5vT*$g0s)5t<@)X2I}()|7#bizt!^M348=N?No8 z*b5Q0342ubofOosfBzlrNT>;=#RK`OX+yA1)D3C`*5bQtxNGb>+AE9iEdmNIh_@Bc z6L!eI0CPQgVHC}pw~kCZpB;+`IF(>9XcEGAU-G>zPhx%CmfY^cVIOXeECH~Jymm^4 zYmW@AV~(_@u;vvNV82WvwOK5;6}8wcr$KzzDTj&XzDs#e(JROa%6k-H%6!tYV_z)b0CSF69GBzP@)e@PXL!TF>~Joc zXL0=3o;<~v)9OS^!<2k0nPuwncj}teDk!kaP3Aw7E#a$PrOR!3Ju52V*eQJBby@fT zR`A#w0l->IOxCK&L*=j{DSF%tFUE6(Gzn}E)B0)$R-!YMfpF?AN#g0;eN|<5HdQN0 z*C^T$HiW_5k{;GZ{8GUG3t%iWTNE&dN;M?R%SRtQDXsrkZ9a3iUs3+ECrIH-S+jG~ zuu6Rs0V+=$fv*G``Sc5N9yCy%7?Y_X_@;9HqEUx;LHf<32?H}&#-;`BVEEJt>c!S~ z$?=#y+QM9G!aDSG>Sz|#?*^9PhP6$mMl2X?)TS8GdxVxK;dSk(G%(2<@RVy(Xqk0O z<0$(ZW{?KH3_t_`FxBVnBD^`Aw6Y49rhXF7@vbJg53`}2zul>1t?B{+>J(-0{jE(M z3DqO$(_f>zHHGBT881!zGtD<@nUI$sJ^L*GzBWGhkF@fqZJ1_5pR)P*Zv6bafJ^ASAr zhb29PQLtJJ5P*Mf6Y_sC_myE$Hr%>HNh4B9*B}gGfFRux0}{i~Egb_42olnbbc%Eg z-3&vww1RXDB?BU<(gNZczi*#?_IJ+S`+cu-oge297yo!z&wAFnSKW8_ggFW6geHi& z)Bb?@)U)_yxD<&zkc;<18ywvG8(`wN;@8Gwh(JX*Wj3mzjl6bzVN zN5eMUHnP6tD&Wyy?JbzSZM|P8{xNwP&cQt=OsQa$$yT7j-XxRFHOtN=Cwg<)+c5O7 zy~&Wyt+IB~qq!M|%XfBEi!rPTSTjnaf9&mz;2hZkGx4$&k#g73VmHacm}j+}bnoB# z{wzkmD!b9)aGXHqjYv&o$&V*8axOfT>chG+Sx?!+{e?mN8@?bp5J#cSzp=?`#qXltUe?d zyB%wy%1re3b%hdFabx5oaUp)JIlk4W1_vkUxsi`0U`weG1TjQC>`6S=gTOxhkCIX2 z5}Y#rA~h!)ki&26MrtNrj;0C}VpM_%(W^JygXvlLwC;e)O$&1O1dY|-02(Qib{dko zg8O5q_;m>P_$9t%jTMPs|Qme~tFkpX&8Iea;744|(fu*5?|jI}?7scu*dro#yovjE zV1@>}YlWUZ?vI5zWslw(N@JL$blLz{!xRgoahNjYO5Tri(*d>mmzZx}tMjq@b4AZA zu96K!%_>K>h$cZf`D_KpKvA^{d2k5)*Gc@`$=>O^D5|X`Ug|ks$bV99*#8D7%Lrlj z{~P)z1ln zQn3Dy(n|$!<9g`0f;S0>go#*nKj7tri!-M<&B!aA+#1VhwFs*BTwu4B;E@5E;&>j} zUP#yCg*c>rI$Ev0Bium%zF6)_Cc3FInu76)tUx9{!-RP_}L+nTm_IDt4Jic8NY zJfrC3XNRgFVFL5A*tMlpT0ml=l1Iw$iSX9p*8R7%+ zpIkYH54a5Ih>@@J6!W-oao7KxuUONKUsHAX>OqZKDSyVRl?EJEP}zWNUvptAx>!tu z(D}6EHw~@_hex!mt+eH-!X!2_AY-~LNhq|z$XLFRQ3WP?p zN!18Sn>&PoS}gutJ>ZJOwy*l@=TRAWL{~9(-XZ>|Ma$?VV>#O~TJ&4=+ z&zBo?mk1lThs<%-uOt~h6QHL1cT6D00_NbUw{r7YM1S~liaN9DzwQ(p5N zLP_0>1*CH11BoPw;^`aNC$MIX^CX?V3!G6lY%p>GDqap25;^GrX+ZBqN{_?Zih*U^ ztZOQNnd#>ePCSlfoNf(du6%BPz;;;i#;E+!(-3|Lt@T!x<~$dPJ~x0uB));ApYDu=0ovXP!l$I($J(~ zgXgRQ^vP~9iaylTOuJg-uic|jzzF@{HwSI*9!r$@$m3O%{NeG^69^YCseCEh(C+0< zA9n1n_12kvRV)arEV+ZS-DmvNG{XlWxT6^7pnz^W}1{t4NTA-pBhA<}qX^G10#VI?i{O6#3uHY3} zk`SXlU;6s;3{gQ#DC%A#_|O`4o$`c@D~uhi^=CT9^v@JkX4HAu84}}bNGCiOuc@f4 zHIul>HFQrK0>L~}{Pzr0hOJ;i{?uhfM*mRM)yFtc;IC;Hsre0_Y_`0A`9u3ly#NU( zo9BV56b7R$owKA+){(|B@QswOHoZ@G06aR^HKh3o#(xsOhb9~>u z;aUoK`|f8W4fX++CA!ISUd27!<<5SwtP;4miPp(M^yg?#&(P(b;+jEQFv37E zr4|`6UwW6;MJoD;pHmubqI)P$`Bi)T(If2WdIHO9J#%0?UxzHAqb+Dv*t-)+W`Cx7U zRbbt8iHKq|KWxD?N9WOQIzyTTrt;FQL4{bAh*ulP^D^a1_2QeF(9UU6a}J66?n3PQ zFn;xU6Qj58VjyB863RlkP3g6437CG}70G+FOm=alCxtFv-}YL=}9C5F)la332kfq(LLs z&TUki1MiT&(GC==my++cJ3bV4aT1t7vjxc5dGE2k=DAb!%1EKEXbHX{wdqUl`!+F` zmx<0CZZN`p1*K3@#@cdL=x4xHRnW&C;n#f6;)*T1UEw5Dj=} za@uQFRLqqM%oh&V!z|=+^a&j_4VZ1RQ-m{N@Ca1DW}jDd#gVPOu}8C!ElYOoP9m$> zdkR*P5S0PT8qsJg|Bc2 zjaz<1;Iy?N1wB_igzloN;aMuG%3$zaH`@>7o=sO!Uucx3zkg$eZJa!F3+Hp}81+98 z04n891)?9xoRa?roH5wcBsPg4t4Tmc8uG!tgh#?<9F3G>AW9^Wo{Wynx4Ry{0f!Ko z>zG*>8!bRZg&R90fIuS=BVLN7CO+p-Jxn}Lxtg{ZFlCYZxVUK?$CgsiNXUrdyKgFt zxt^sKE}tiYcu+8Cl)%n3y2?ku1uM3|TUEIcD!)3|(!%(~EOBj?YoG_<%OP{%A}6mS(A!wgPt;YPv|Z zv@HcnAAO4hrlqp;8f+H2J-!$hwrE~XeJo`ba3fsE@5qn$QQE3um&uaii4ic8Dmy9H z6gxywiensaF1I7dcjapVFV*uDnM?Syd&G`VFT-XK#A=o$XcoEQ&ul4kgtB&K-w4Z< zCee3x&uKu%ju7mwQQ6U*57irW5WOWOfYhIVV*+UpG`|~2#0~(UNdJc6D{hvbz%D)g zZkcU{GV~3=R}?*mk_!{4vblI6#-NeS$05qw3==1ghGfggcSOc*vnbSdsjd=QkZoa495vwx>z0w4xn4T-Vd zn>PI$w#MpWMhSa(sR?m8o?RtJa92*O4I-b#Jqz+F{orM)5X(OJMlo?iCs}B$>e`Xh z$FxsxHu@REPxKCHwyjDo#s$FxHYOKYIHFJ{#?P%2#}miOS8`DHKuf4TtTy~V_jCSe zru5)ua>jrhv)+tWw!yl3lULfz@XfNK_oc+()bvqzA2N%ipM!|Mwzr{1oQYY3Ru9n& zq-m(DUgs|f_$qp^QsGTkRlful8!{ThqU!khd})JBC`0)pDEs0vI5 zVTZ)<8ijSBD2`gpw|IBy$5mHK`C-JQnO$^+blGXIR#3ElZ#?FeUQFJp*jsNRprcyy zptzV)HI%19#Dlr?;w57Vx44it^WRu1)nxh5Ele%Z3B9V=)Z12mMNGrTTR? zhboV&`1P4*ludkivC@@&5Cm64cCNxbU;w-dD~N_j{5YnXAKqU5H{uI(9c*{LyVOMj z?LBJ`ch}#5XJkXhhTZ&L+iUgk(vPqXdgj>Avaek11&$KY&xIldDt9-`v~&7T2<8{k zNWKWUx~avvv*NJ#keKMd_DK2>C;T^z4UJ=H3DtjU-6*J6>)Oim!nfnswUeU3i~-@h z*F!clqJ2#ENL30hF;2<0iNS*N>P;c!#^gY!pPMRlT10URwSfys?|+Vx4C49Bwp~RL zG+xFaoW&kguFlI2=(PS{OP`-mX|sN4sV9xDYv?1+5Ac%_1r33Rb-PrNM$(To{6mW- zNATnHJ7qiU;NKV}RLYDvCD+Lif$f8-VWl$TR6-SJaq>({70DrV*pB>bZHM_mXGBnyjVV zd+x5T#aSVxINLkcf}Gf=DEs$SqyLx~-~NmAus-F#X36F9+iJ=C(SHQq%c_T%v?1w* z?yB4kn%fE@>zAle96={qPUJzi-14c&K*M@CsCkrdGz3b_dNjKScM&f$c3)yPy?)co zZ*I4P_AI4j8-xvrQ~I2aB?t8h{sC`eC-!ci_iV`6GK>k%j>_Pj12C#1Xen%?WyaSA zxlWn$y68h;(f$w;6Aj$7!wS!#=MV_JwFgIP?(F&nX_FDz>^slv3}HP5H|9)~s@#eD z7JE#SRd40U4Gu$aOht@PbIT`tWoX;cOI@R#XvMPUPc{ZZRlU0wR5hC=qgjpsUw24W zrg0AoosCK7QJVonG*b)8COS1@9u@Nh>~c!cl1FHb#!;z<+i(!~h%EvdH{Im0q5q_1 zDS5-3hh_gRl`qRa>x9wBiK7TDHfG~2>q*l!ylOIGTxq38(~EpBs23+B@mfLGqqQ34$>RjV#mgz?7tHD}$RjHTHK6yo*`Y@$J4d$O`nOUmt-5%IXt|JCI+2t zCvmRIGBewV3s;%duW0@ZVaRkiZ7(5MQCvXXt%Z$%8OQ)2IHcOvb;)7j7e@snBvPfK;v+AyIg2lS^sQNArxT%% zPHT&`EcIq1q(W(D3HgKXoe4}8{xJ2n7iqNAZLizg)xLjibF9c*)#K7vhv@|FuB5tu z;{~YxEt?w##4)mTqKMdVb)%2|7F4O(YpzxUS9h6irfEJ{6-O&bcpH zu?Gk*YcNoim%sMW+6-rz&SrLhl_rrDp@<``Cd^yxl2fTk)ruIL$)Nfvdv4K^^6A{- z#&=G$NV~2wtHFR`qyBqSN@vCT>cQ~%!bo#Cc{EJ3;YXFg*61da5$^Y*_aK>e{KS{p zIa?&r6}PNRI$MFynx7SCIx0jlF@m+wsz-oA@6dk$mQkcVp8nm#f@_PpSJeuCEBYk< zo!mL}2mZ|_>3vvn{u|L}C;cx2&K_v8`o(GdZr}&XnK8}kl}8VD?+?^<`niC0v!i>@ z(D3(dAA<0z1Jj8okXBMmXG%H00r7l?51v8-b&_N=r>sV6>WGZqaD}A;9}cBasAwOE z_0Fjk8BzwDprC!HIeP{Yqd6b@IRJTF@6sSoHD*hrO{Or8YSFLr{pw6qzxve$N;ysc zrO`c8>}M_GB)VVL@uhg{|Jw5*I}k;}UCoxMbf0`o5`(n_r6TI4VF_#`qAFs0c=}neEAz=a2Jn>pdqWzQW$*nKXg99FJj2WO8c6 zR8h9wHr~%^sg1XR8>)x%29GW2&-ISXY?>*hM!BO&!5T_|_hugaDFE5XR6k-l!sKaVKaGTv!+f9o;az(5-yeE2` zWt2@MdAT(rYe}!ExZP=M^u!65@_{b)@#d2L+_2N-C|#*_o!%<fl|)*ltFJ?N1sbwPdR%QFTS#@Vpl+n&5lAL*CL{?${!RsM7p`bu5mQq$Th=LEVWTn;xvRM%$U} za?TD#oOpm_AQ@Uv8dAlhq28@nE8WVE^$sc+feA(Vy-EQ@%d|mqjlw=;YDRftjltM$ zAAQh%r&aHCD}t{34#ZR;`)=%ab6OUXp_59&i#b_bN`jutqZ+o3J)1%o(_Sh)%qeZ{ z>|5V1U7Z(#0mYzZQ&lS*=JhhmK<1Oc9JPZ}Ql}U+c&zMJiO90o6Io5NGd~WkoZyeGpU2f9|fREU!k+o+aSm;Nz%Dv&_2- z$l5_;2SYD1yHy)}gz5;$#|p&Byp8E3vRBzDSh1zV6E=lX;zosz_~@-}q9mNBJ)5t=!a5y80K+kUAU?%d_I#D5(HX zTx6<%ik@IfXb5)qWYfunF6vH9#32=G93Z{so1Mx$TPA+;iWMHHcyQZ@>)K06Pgd8G$n#{QmrX(p)`^AxzY< zwVf-o)9iM**QsD1S3zMKq@`NdpTzh@XIe-ZFNw6$j3Cs#0ZLOdLnFgbyjRSwA;2R$ zn(9WlxjWE?%F1#|(GjS~%bgA`{j3Cc@=UX;tIFrD4INPKe6U-VLSQ^uDLgpon_FJ4 zN7wXR4IZ(1zkRroGo%Lu#CO9Fp%AlU0DFzMhH9;(4t{Q;AJk?ihF;w0iqw%n8MY_t zlux#yBRB;5NXLFgJCosJ#}$Eo7t%80xbmMrmLO9h@-bRX;Viw(6gNw?4!v4toBtHB zQ<;QJbiI3_TgT$f)>5Ovg)HFQ0z;y}%2o}^YnKucWw=H0$r3Vgit4e~AWfz8sl~+6F&B~gaCX`C5a}Ut zH(9w>&LRlS%j0j(#-Td&5H5aNd|WC39@jI)phUtEAWmt@8Dg2SrHP7fS%fM?-D?SA zdYj-i4G4&kJzoI6e7Rl}PFSR4{Kjb+HlR%R15Y(+>^;V%V3R*I9DtF3?e0_S9rnI%Cdh`Mm`9hE*~upF>l8SvVF zB9MWeGyD!#vc%8T$c3JwQTv``iT0+a8l0RRxlIq5lh;`svNKafqkh!J@ik2a>XHy$ zLOI`!aB>3d*g}Xn3nixMlu7uM5D3_qJvt6b(dTg*^wnx7QGWKrH2|U_Ba;JaIUDFg z^9R&Z3P$#IXo&2xz+P+6;C=$T-yR-UDta^P(-8jWsPf;u?H_LEHKrlC%V0wSAHa%= z;BhH`CtOoBv7gCZFK9$JCQI~d&J<#_4o0Vjc>3s-SU_ED4N4p_ZzawfIt zI+hnG<8Ty<8~#rsdP%wcSpOdh+uGu8a$-eFh>Ej=PK5Q>qrlS9j`>ajTDQ#x8&%UlZISh za4$XUcvSLa&7#9lj)HoDhG+v-Dw}oL4d7~5!A)!NU~xFolYH1cb;^ufRGBS}EH=nj zrgz_eFuGUipWiB0Y|?%PwTebvMW4Sz1f4VqF6F$;2c%Eo{Lfc}r!D>;KgWOnE~jHI zAXT=)R9zBNHsUn`$WfBiq6>h1Sc7YkX3cKN9-HWQ;`1Lq ziu&KIofb1%JYo|@Nw?dFBSX*4yz_C(YGz(%j|EV;EiYPOvU~z#Hd`2*_=`|b35m1IH zj{gmaqx#f2Ll^ga=D@hpSj_YLdhKq(=7`#u8pw)}@LjsR&YEe`YNyh4hZ)9{sOF_n z5tlkoF&yB|%gY_5A+eQh)$uhRH*~Umb#X}jOZ0clLuwC-dO|Pt=N*e2U1ZzVkhxbrH2@U zqjbVkQ-xhj~v!iB7;yINRhDr@lg~S6cu5xdLzpSF?vLY0! zW|VG&Qhk^q%L*zmu|a54V(J-uaS|fisrYrKDyQ9*;bN-Yl>1aGKLH^xR+TLH=$1PX zTZlMkryrk-vC^_*z87^~6`=yFdI(*O-8ycv+|TQ;-0q?z+)AYn&k(bC^~T6BEgPzP zIvNF=qH?_|IySOpR5NxLo>9t2{4j(2b4qVfARL-2r~&4 zy-D*^LX;e!y`R7z-0$&;S#+UW@adu6WV8N3*zov#P$oy}FS3bsQ9_!@WVvH!yQ_nt z^dLTAPB2b4F!LS`dBUkE{`q&oz_^E~vz)n2g|X5I7FZe=DcqI4*j@iX1u}!9J*3K< zHyE{XN>Btj&2srNbuukmoqU?_hAR7f4?;t6oB!t1?GaHt6TMGHhNcyTWY455!UcGp zL2TUdK2(L?L66*3hmH%2g9=X^jrLs7kVRqKL54}tCIZIQ#Pw-c_q$dx70vBIIq@$y z5!0?3ihN}*is`7t?>5%%#9G6{ghkgZL(7ih;%_F)ojTiL$}FUF-_0VTKW11(y3ru< zVt@Q(T_(H!n$-+jpM2kvh4Y2arp4HD8=#pOobsM!cxVkvOQPKuJy~vhcF=ZqJYR^{ zS5Qhdh2X)@FE_^#`?WSMl+g7=_W^U{u4OdL*yJ--oxD;oO11uhwoPS z($UxzhW3Ad^%2tJYm^DoXww3yIRKKOMM2Wi+ugy%We)t!1X;Q#b-9_3r^8TF9=}E| z@P3NosT<16zKfqMt(w&gz^>*+9iOqtPXwnPYa58N;bfm*Or;}HwECJ*jToe%;C8e# zFuaWKyJ#};w}Ud$qy>zR5hXpj|2rNloctl%v?%Q>m}H-sN_>A6POX4-b9&--~^_eF>q`v zRY^qJuE7@KMw@H-A2nUXB=0h>%d&5mxa8S7&31 z79FMc&~Dq>hy|Z!DrFYKFKILw=9Fx5wP8t-MR&?K_np*NqMPD&_aGvt?3s>jCD}|Zpy%9Yv`CgejAOEdvRM@j`B0L_ zh9$9IN_&6)9bN`}Z`aXeWS4RKZZ!v#h(_O7{PL!tv(vHNtvpeo5&|8Ch7*p`AwbM& zWYnRtabhbDnVOB~Bu{By-wV{`71EmPVX6YNzz0;hx$~L~wtpPr8Rpzfw&lk}9$A{8lDE|)Z+&zq|#HpRYzfb z-t&376H!#^xKB&&=!IBXHXJk7jWNuQF;mviknoCOh*J^6zqV{4q`*cRil0|A#nxmoa0B4H0jHOV#O>8=L~S%^r=2zv>Tu8 z=o==R?YEXr3g&_CK|ME@33G9WO+RH4$>r#sRSs^Hl}c16CW zT()dr?qKy?>O`c%x6E zz6hkEkDbak#M}I#!Y$8dCuVc$&aSE(DZ@H_E7cUs`*oYlPG(S8eb}&3&ekM@tIOuGx_tCKv6M+!zo0`I&8dSxy?t7|qz*Urw1`k|}Y?n(jJ(~(2 zx1o-;%h>h1TAB+6kR=`;-=FFCeyGAEu$W@WlyXmA;J3^^xvk)SHb@&bOFWt1wh&Fr zZi%ld2aX9zIs6ti)H`0Uw*zCUFfH64;x#kei4h zF)kaG{bCByubaDZyZv`IE>l_-BhWBq^?@G>7Wk#yq5#k1vZaspt`4yKC^Bd}FAoHJ ztSyE_EkWF%r6eJMafxw>X-3CIVWH*7Cr?;inL;($XU+s46;q{5UNKvcmPVi->QU|b&h;5JLMSn+XFMlbHW7+Z^Nt^V8ICFfZcPvNKBj@RaCfLJ#Om*ye$%{lct6ozK z*%`5aP?oW=yK%>RSlcmI--JPHF5cF|GTb2B-Z%j@BOgnq&!-g^2tHCdAOZhcoAQ$p zPt*+~mM*|8n7AK6wi-X zf^mV35_$k$8gWn8S5VMizMT~q|1bEOUm;%vFq+O206}oRq2dfjp_ZJfub@jL-rHjM zuXon7qx>@LdQgtE&wJyn?=p9YEYpr0c{6fyM-Yz_f8}u^@@#6@MSGGcL}7_nBS=(! z;`_(9%rp?u<~FL`!t(9+Ix(K=RHCqJL`DlU^BPOP6ulo)V+2GZDA!V=fW_6aOuvS? zO?=-x4`j62A8DRFlT+uAeY;;WKf((Q=OIY*AKtgyHcTCMFAzYg$DVk$gtdjWg@hFI zSo7Fzver-gYx`H3p4cez1NxfH&eBLN7SaD`M1C%L+VNE$)Mfu`W7+~KU&YD4J)l5tyW3D% zNG7S%3y0qbolW~6W*JJ17S;r*dhH4;P$HVm3K6sj{FYTMKVns4&Te!9s*#zYCB#v^ zIy1$vdUTaP2K)3DB~tcN>m0p;jFB9&Kk}>LGfOlyzC(hy0+te%hg;vE9QgG0J}P}l za?e=r3Cm;10@Ev4_GBj~HwAf|v*lPFpqfq8VC-se>womIt^rSumI_C6PU>CF1xZIW zfz6<_CxtR>Ja}=|w*c;++R|-LJp{~WW`%^DyQ*tiD)~PuUqmU$sdyQx5W}U;CLXfg z>)BKtveGy=Py3Z+Lnjf-=ht{A&4p@7GihOjdxr zVErPdaT>uQAK%!6sfuIYspyw@(UevCqHyILJ4qp_0ITx1$%mxYd}n+BCmvT$q>ja_ zN^3J#=FPBQah}!7{{cnTHH>Pm*WUXL$c>^wrQZRn1sjV%4KNQS`$>%$uC7vV5uVSo zuf^%>#H0i9=1f{_xJrGUje>6t-6YBrfa<{XE-z@BNNLJ!yoV*JWR?Qt@EYTMnzbzn zUmk8nZs$t>+PynNGycjAc7B#z91wr~vM~Iy7}tBU&#)7ZFJE-WI+8uU zE0qydXK!Wf42N^+F%7PZSh;{ za$L-;Sd1Wje#)ERKCtZf?2E;M5Vq@JRktIbT*SpgucCcZ7ZAwcvm1gLTbj~GW!#aA zj{Xg}^NWK7ve}SGwc&S=YbN_RcsM0~u>K!o8>-y@&ec{ zs+T}x^gz|;L_ksbF`C#+^TabKKv+zB+w4OE!9XKc@{P30ZJsLGjFRGZRHc--_9oAs zB!&3!9DkX63W1O={bzC~0j{G^3?CjByE%RD_>(m~wr@kWHLYdriJ#l&)zc9>x_TQ( zbQa>y3&YVGVaNs-Lc_&|;0)fzfX-aapez1=W!P`i005 zhUnZ(9}%&N&Y99NmD~Hntt5}`DW}bfgyb&?#e;`>_Pt)N>EB(Kn8mMKkAH}8dN<=$ z#k|~Y80LWMcRX!9HW#P?)hg*Pmz*6cT(b!A?Pb`FX`3sWRfAhGYgIzbeJ6z&*_-4b z2@h0%N;cra*Eq7B9=~DUaW_h!&0tO34Z*6gVQU^40M4TL)*NUHRdM%jPAj?$$s9i<@4A_SCQPoyr)rgO16zW#1-jy2FORmqO4bMy{-|YFNR#^h{@#SDcX8$5D9LP)pa!8gfV$)| z^3oGvjqNPzLa{#-l~AKIu8!s^y%AX>JE+*=0wM}A7JT+xWmcqsKeoWo44kdfR4T{@ z@+c&_W4ry>RUCxZ7~N5|Hlyo(r<7c95+-tN7-_ZqD0jGL-;qzPFPh_J2}VACKl(u~ zk3%YLwcU5X{%**Cf_um1j-fbVxyZNYQCH;PSHpeFaw?weY*fhsN}hQ;v$4c!$@6rh zz^LS;tIWj3e*;s~rY|Ua2>ux2KhR+>Xlhx;O6mJCDV;mj}y&w8B zkgtUJ*fAAh4N}zYv?1cI~i`sum3eK`}c4@uQc^@J3!w@h^yb8eIQ@VT~;>Q+Ts()w8#bu#z-p#edYv16h-mRBnL=n3R zaT5w42y>siSiJQ^yzOn(Ok%Sync(55gRe{bvftBiBdrTmj4<1x+(A5peuKgh1Mw%V z0?ILC3B#UpOsf5$%o%Q#c zvB;%+3IQ5y*mMv0lkRP%U#NzHhNrDM8Px1Z9X4qMxWCKoJ1r(|$s5~e(tq896MGVS zHvBuznnW136%uz^BiFPYYBA28nIe~6!=Nw~YUb3XpIqQX1fZd|l{xl$ccsnTr>LWP zt3N1)xX%2N@qW*?lxBLzbN7k%p&<;*Dz|w5f8B1N0>L=-gseDFPP*Tigh7LJ=^4KP zY1S6M0agVRMBCM>{2%V-BTZYMw>tk~Uv_#_%{2)5{MNd_N!6iAVq)kwAYA74OJSLY zu#po9&~B6)us`Ir9#!rTZxe@prp7jBB+zO(K$g=0{Sp2hDsU=#awa=R9P$zg3&4?iGP(=t9M(e?5MNm?-yZ;91B$ zAjy5V`)OF)h6^UixJf9Ry>8bja&jmfCLTAYKC1vZ#}h166{dbSl8g?JMbeEB81Tur z{03mD5k$*N8(WN{%4)Czklb0(F?~5anwviev8~hD1;dY*;tPT$YWo+Q4W)PICy=MfqoE;IW+yWP(Rk;2e_Pi%ZglpV=uz4O zMK6mMY(I*G`Cs}`0!`1-Bz9h`c3Iy|%~f^L;dM|9kkuk&*d0xusxDz}tj;1Y$4lW$ z>_b!B9t8(YoPBzugTda}5Rvg7y{@MF@4*1PEOC2gYV|%1!9fJCk8@{-7$`9&wVI14DflJ#C{=t@r10jYmji_jM&F;T1Fa)RGvqU1`s@qKPmDfe25B z1QK`;U7tir736*hp$GijHMKWO(>-J~ZEw?|;!3TU)s=p4UBG8-_5!1bz{4cri8Aku ze~hz|n8xaR?>H7N8V)ohX1Wy@@!C8v|v4=tRdR z9`(&dblq&>1phkSdM=gIxBTqc%f&GV$z^ptnjQH-?kG`)$&MrD6~_37MFP4Rb}PO& zM`xo5aO7pIjXG4Y5FG`Bg|~D`(YWJpp02)JbCt_6?L0@AynUACN0i`CwHq{4`01B= z@iPDZ^MVJv1j!~g<)G9PY_Ujc5>6AIa?V+wmjm*A-fHdpn94MpPdP^5F0kX0u8{NX zL0B#KrFf4F!-u>`UWq$vN%UXdCX!^r?Llh!OjOCfY%Fb`{wsv&aA#;NpPEo0J*yei zERa_$5ob$V+%jq+M3ySxARtoebAi>)Ed3TA(Q;Bz2{(d${1lbMkC=N`x)7hr<>WMO zVxOA-+MUe~uhX`=KXv~pqM3ecz#8$OBb6#*wA&uig(E6DF&x_(MH;v9(}Zur zNna&g`IM!r>xr63_6ujv1!WkYs)BfCpTE z6@(+c!T$;|;wY(S5};5)1S^?>BFe4-gx0&!iX0G8Gb$myD@Xs4yE76zwBM`gk%`Bn zYGefto`b1{nhFicSdoK!Geec08Fihe?>0qIcfP16lF=g5*j=*mw;Cj*PUjjukr-DH zR=C#;+=AUIz%mZ?vgW)J0lpQ?juVlXEJnGZa^>Ww0`XY}>&f`p*PCfJxa|M0!RJmF z5>G}jM3w@F;gX?HAx}rm`>obp)V*>C&Be0YoCGbE4saj48a0_ldSGa6t|!}yKv9|+ z(go#MspVZ^WMF8+{arsca6%=t?-_JX{ed~+^?kx^vp+mA$Te`b|3PygNd>;KnrnHq z0PWPBkAh3iEp)l`OyBj=tS%D#PzPCliwmI%x0*A9)v37h4bc@<-lFosR8ViqS(ygCN*e3QO-yl-RtI zVb~MJIR^pFeflJ)<^lYX&HJ3xnebV@&mdC#l$$*9pvh`^gODn%rCUsMlO0((x(!eH z^uM$9L0j)EhF}2hbv&L!w7~4#fLW`&p%(HNmx&DsWTiu-^PX+LqwMDB<|9;p=uvXM z(61)eDh2YiKLdLuPZ2j&?qu);mfveY|zMz%B-C)gmkJ{h3(iWho#&ic|Ob2#2vm2E353wxHBTGGl5f z3Ou>RO^UZ^jkmT;uJAtNK6QmVQ)E#~F{q&=T?-6-RJ`dQ1-x@k2>CJDUZp_Pux#GY zhLBSl5y`fD)p&R zAEOp8C$FqBEz6AxH%7NC**qLn{%FlhG9r}@UCm!$uXVu|-#d@vbaYIjpCZ|6*KyuN_c)oje%x>6U8nhWD|km^zJ&5Nl-#C4#yjKB2qk!ez6 z^9$F?FN($@cM_XM{auKuu50cxIrA0&2Bf+{a_er@w@!eQgttrEjvJN@b!sFF5#`F8 zWw|3*t|1i{;^uEe_042i%6QKa@TF`_WT+!E4Z$@q){2?=1?8tc!P6$eduF_tPH}Xz zdU9*lndG~l0{X&6yJ1aT2xXrJqsu3#&;w1TmpY3h^PW_YEJvcgE^zs*Vt9q4xj}4H z2uJ@xdHp`HO_f*b2+!cjn@UyT*m>24cL#pl0?**5<;ASmJluF!Q5!D@VRgaeIftnl zcC*30W`-M&YQwVkn6PbMwHq$fFPVhNU&dW5GE8E*qBoD@;JCX}UBIYB{*r+=Y?faP z{OuKrFw2Q*ubij={lTi-XgaCH7_s6vdK%Q!X{c*$GR^W z2j}Z}c~ek;GBEKa$Eb&wd0C-z>Vn6Szlx{as`(F(agXb51tG(yOtFRzXAqi`j$>o3f9xJ{MW&^odU*_6#+s*^Thfn1ZgH?l#uu=c`cMuw)bhdS^{~q& z*U6gJzX9UH%dC1vluaH+%2ju3MmxiADLT$5J+hEQG~uML9E4HfMXP9O+7XWfdWMWT zTr#;lp6>ey9~sp+Hq8<{`Gez+t(#69V1!G#qF+lJQ>t)HT7^t0J|v#}5BA=&sSNP0u}Z=bo8& zZqE;RnM@`hGMRkX*|PRtYlS`n&ghh~F@VWo4nAK2fLpXeZq9Ph6p!BX0n7Tmw0hFs zse)MFqpUQ-z1Ly<^!C#x7<408t?D6Cg%-T&v;NzB$#>769?#e|8e zvJ+LQj;eu}^<4fxfW%Zr-2_PoMvzc)1qUZbYzJoa9Z`|wS?&Cl(2#g z^XgDL3M4K7lIU%ZvU3DNNMLXd}>%8^P}aj2K@(;@qe>&O!8Mm&uWeO)&{#*HG27npV*f_aAO!C zlfQL|sVw~$%s_CmWie8%$AqvW39d9Y_axt91p0zL82va9WpS7?em?Zw%yQ+(+bRj< z*6gq{^iFda%RV!WfIFP|%0WAxcuO;OEMVR_KcO6t4ug0`bhz1v!IWIQ(*oSep)x6G zF<0KYky8&e-5&xB#6q~l&xNM!aZ!Oa%w-GZc{Y$QMv}U=D8^?lq78Q=H zbqKLvLLLAMDoTS;D8k9)!iO0G*O@;{Q4oaU$aYgl8;R`E-rnxK+n;U9DCZr~pKpGz zL*UK5$*=uO5O-yee0PoV&5jHQWiz{9H+803o|zw&}EUZGoj=N?l>!dPNmkc{VZL_S>Amk7O$@8%e~SgT~*_-``Wz-&8BL zS&-B7!Iax?-ZpfCT0+7tDA870~{<17g9~AOSykg*Zo8z6@kk`y@0lT`zEMhD>>v7smszPqI^(f};B9vv!k#4G+WNG;f5=i~(~ zd5H62O2d9rfMSWdQh^2+Cq`)AbF&E$Av$%qPN%Ua9$=kBl>Cr?%qJ`Rt*fJIR3k07 zWp0o4+-$hX6N+Ntq(s$Bhh_(A$i*7@u9`s7<@Z&Hg2}wJGTn>Hb2?-;^zWPtfXypTUZhrtJQWC&-o~$gN z`YfZVRFb9b=LEc)E$2#-6>q#gnX$4B@7lC4=Z7ezK_hUW$=YkdD5qR3UsqZ;AW+?lnG(pLVxr6&++DJXfE~{PH?_oFi|xp`eL~zt_K5&rdY*( zA)Y04QCZgAmrR=Vt%lt(x(f|cKgOQYNW+o-&teta*v*($$Ty~!-`vAoUs&AC3WTC4 z?!5z*C&CNu>9^k986Ee(ktTvV?*$x-k+@%8_HlkLv3h#|% zi`U*M-eh1(m?r5OpoLfAYB`qC&51#-#`d70oQ-C09pB%3`5v>Gn}i>hZ@6_)5tbru zf9ZBI{Q^o?8yWJ-eK%17k>vo3esh+70;M5PR}zpBZTQ^Mz{=SdTSIQgenpaI@P=TP zD4-}lN|}-1{X<0mo$c{T`+d2mW50{^@M3sJWUavc8SpuB8LDFpYTmBxKBdiy)A5}DC(UeC{=I6@snwjt!Dff~o zGn_Y3(FFxRjoHt6H<_2xW0^NA@6sNhnA3DKF-G`6^3?x6q}J%1u>!rjgRHDgg7am$ zbIOthD4%bFIM@rRQWE}Hb)uu1f*9jn=)8*24Acps>bZ`U%cq+(TXc3_QgZD8KP`dj zN8kJmJHaSxDFkLDCAvkjt*{98=(N0jbug`Ou2quXuO#|~ zy)4hqHUUW4CAh%je*|TOPvj?rRdcq#^I?C+P7;3fidfP5}%UdIBpm} zt21;h9lZA{|K3ZMJ|sMXK5Ro=m#p$5IQAxO&`0XzzHh5lb%D!!{I3u%ymr_6D zDwe7wLw3DeWru*Tp553F(Z+rO=gmS-qWu;Qd}BbE-x$RO$K|}djoVS zE4@hn0PHkp=WpIMaqv@(g-L$Z;&4#-b@7ZATilyIgmQZ%1%1HAR z8}?Cw;-c#0&yiVt^C&2ZC^mzEL8}i<*ywnwOnl#dwzPsrNkR;rWAVK=Urmjxr7d1w zxo<&1`j#Zb3Q#B%gHMNrv zyIS4v#zGy9rHH!PdHK~C_i-G!CLJAw6}N#VUx+@F zS>3}e;+S`_AkH$$_Ckd%Iq8w)*i)VzAhv(BRQazO;b4FR9$lnsnnga9!_xO^k1meM zRGuY>Btj=)RZm;`6-kzZjAZT=<*Lbj_g0=T-&~jE7!`h7qj9-~bCo!bGE3b$df>En z-!nUGHHfF?Tl34D29JQC{ly_T--26zMGQrwr73d)ziHmZdo8&4z($`v(|n{+Ic<$F ztKJZ(dBC}!aO|5-baPs{NgG0OOR%8c`a@q3WhTcXV8rUNyX4m#2iV&SglAtbN`Iy4 z;9$R^({0r88LkSyd?=@D08tudCe5Kg;G#9a2 zm?EUWa!_tyNRLL?0ZA|$MUS1^76nC5ZGJvP8P*94?PJ2QfTh~$jxkS+&Cg6Tk39|m zA!|qIC|0HInT7FK?wZM^8e#y}Bg?iF}jFWGok(RD&rh$MX&Xn6Dp zf{du$PI|beM0oL6OmH0V0#U!eq-e^2`_Z87fm)Bbt)jeaaLAmp>?d03JAVup5}mD? zLy9Ti{1w?aEENYsh{x;17?9w=09oKQhRf?ANMQNn$BkcY&zuxsca5F< z5UNdA9A9Sb;?tjQ(fA(GbRsd8ciaPd0Wtvmef&l%+hzqT!J&BA^f7V8sq&Le);Z)2 zjZ@qVW*e{|~+pQr>LqHQ1!WcA;|?igtD8h{BK3J$_( z2s`fF_@9Sr&;I*V@iDaZ4GHVn*HB+uT0FQCQ$1HVAKI`CQJKN|AHZobk?ZOl-&_%c z0hUfn!;Phh@xjy*DHKJemzRG#91=T&rr=IVp^VN-|1>f_GVSA;#Bh(uHt(peHUsnn zG&YEjyG_P13|>7*0XQD!=84?NRB3s+y_)=g=LypP%oA7Bys3zje1~Tm&Stm{q(d9h z32P>ku5(Rs@w3-=JKZ!_A%`8|rc(Ak^WZ-Ks8w0X<^5Z=U7hE0QxsnLRT^uYEKG3} z5K(LlV<)Epy(lE-ZB4v-e)VgZ8-rn0K8O_658L_T(Zp0wSn2h<0|(wkq8fE85WXER2?8QT+~LL&W;jB){$A% zZdXTgewn-tkCO)FDCxj-#0^Tr4kP@rQB)4a1D1};N%>IDdzgLWsye4^jR+Y8s;n$} zI%WOF;k`mSrPXe!01snY)$LfCP89XlOpZgw?e2SBo42h6s7w9LfzF1>e%vImO;BbZ z9t$=c%~9Zn9>@@=iv5WY=0$uv6wqG@i;7U}9<; zp~2(R8?Vn?vRSI9l-M{?bjT;GFP-?)yLc@+!c#!7%c`QBgVM0{Ex494n!hHTu>9-H z9q2`xuQl+$0~>f#>5571Xqk`7lfvG8Kd-3O8InpUmUZDLw6WRmKt%Gk*Wsy_m4X%4!oM@@2; zw}OkywbqNmy$z9c{nGY-031Y|1t8Dmcaz?0xTIC z8f%)oU(V0SrJbmJ=Y+W{6cK)91+D36>1k`f{-*AtV#-TxZvv##@vpvuDDywemB&2W zujj_0RC5$rx5>{U=7%nWv`> zEi-MAPnfox6|i87DVL}J16UE~r2EeebTilZx3)0$5$n=rD=Rx0a%!W_h8 z^x_eGEt4>|Xo+_UsPptYwI3FZa$EbPu$i&tzx4)ZP7b^&(dVsT@`cge=hs$Xj*pLX zsQR3y+R)9w>{#~Tp8Hx`!SwP-6Or>Od*K_Cn~3NA@}Z(E6QQa!sGIa>LR+H4&T;sD zrKxk8sgu913Uu<`%*Qu1FfBvY-@aj(DZw{?@{GouW$-MVRl|ZoYgO^Mq~RiU^K7+7 zw*JI&Nxr2cD!iij{8;wIDH|t#LXsGN4>x4Wl5f~+d(&yQCT|GzqR)Mj<3~(jU)p)a zJ}3KrUe3Gn$o?9lM@oB16RQa9ub5JCxf&X0MgE^AhmMo#UC+6lqbf#bdCJvy$24dm z+7lW4-N6`<|55vB0K7}Ts%sn1Y^n!I%SSLxrrbdu@7)X9;jKKuIAf8KJr2=d*g{i-C@V%ZU zeVg-;0gh63w|pd^tYyL8NGYy-%@0Kveu7H>aN22_)HyBFUwXR2M77m1E%HtpWMObk zgfRm_WF_&!Dr%+NBPQe+8M!7Hd6qaZQ0Y5f|GvJ)c*rnmKnK%@d(}aJI3e)JPFhAr ziS++b6Muhde#xi>ss7|_Iuzs|n*RocH~reZWT(#bKk=E%s#8yhc&wD{2#4=k6}v7b zq||eS=csf(CI|-8mtCX<23{%cc}Eur|M!JVO|AJQsZFYyo>r<dUC)em#6D*2FG1Yg8_&L0=yJM%RuDU%tnu%syp<+}tkx|1;mi>Cy-uE!Dm!Glv& zsI_3Z`(KmPap7073-amB%W}{5$ij(!6pb#aD6~FfTYofufV;JZ`g6WIk1W=hd=67h zWl|;@k_}~ha&)C7e6sRQ;81n06+Fq^T~b_Q2nG0^nW@fw6=gy|1f}Id^C9-veHtWj5#8u0Hpl)ycY;}eHL)OnrK3K*pPwRHMfJ5F#^c>-dCa{eg=y~0 z-S;|1J#HNQ5Rtt|>igrV92a?B(t4kj1wQ-T4?SVK?A3UZC-D@R!+OQ?!7XAdKl}DB ze0s2~?-Q4nF2_nhSTqiDA-Z_hoDAL1p1||iNs62Vnf42+-UP2dHcx!D$x!_CX^(`I z%bh|@SIXKNQ)RTUg;jHyd?H1Mzt$w-hc{oS6ep|p*{a(7(fa8WCTbAq7Wzcnma!xB zJOQHd1sZXbd5h;j+WGU3ekbsT)K9!ys{_;#Ug3^?8l$%k6jBRioQ=n-rP8#@c?Xa--6pgSe&nH(yCqCA-8oYaIT_`Ju%}`G% z^`f%?HU_t6Vjc@R0WkqAVy)8V)kP8wF<$YyenBF4#m;UWYqj2S7pFq0e1_!`1V5>3 zZ<6>Sgg8lV$09Sd6nx`Q5_BqcR254hg30AB+}iNFCJ(BY$LfG}#3~8ggN}TviS$G8 zWM>#3Z~anXcMDwO!#uwG6aq&(vS5T>W@bxtS&b&n(M-O{u%f^#8Roq?=Tx;Ku8r3N zw?7e$a@K3BG*GNb{?YxGvG0R+zKn&K=i=Z(5-|G&?dsMXiQL~FOHpIUQW7Tt2{Wrw7uDn}+q>Lfx1=~RkqJd@D~DQE;982Yksvz% zpI3JlafRyf>vLMLOyLpsW`*LdwAH8m76L2)z*|vk-YNQ!AM_|9HEM<|fAN0=+5Z1G ze+@8EIp#lZ5B|%WPbvjS&7q3p=)05`)7(=pf|mxx~{3LtT|r5M@FQ%{uow!FTz_$7wKg{Am?G#?5DG$xyDeU zucGDX{yeU!hdW&|vQuJ(pRB8m6Dw634;G=gH?nR9o#Q>z8MIPZ_>%or`ZTHyG}$F- zzih~Pdoo7_1sMd8q}|tJvbpx9H>p{g>uB)1sn8HDnLwk*%>m3WU?hlF*m@66S_ju; z>S`l><)t^Q7lX>XN&`X0c?d`^rwj-IRd>An$xpv=sDpfkI7D(MpW}We>W~~TF~dbA;kyI`|$;@g93xm?<`~|vKG^wb~)-?)}!hs^0Is~b;C}KjA_xjv?Y%0 zJTb-MPD{$C4&%&dF{IQdJIh0kW5blSmCS9ZO=Q>e!BRo~a~&dF6%}s+Pq%eTLe^x=-Zh{Sqo{4{{r;o4tp@_{rpK&|AA*SwCWUaes{9IHVfql-;xVRPb< zWb`%JPk1QLENnzZ*V~=ldn0nzzb@Himc_EtWabQyO!q z@kJ)-QACMZw@Cl3At$@t$%|UthVxaP_X85-U>GZI{Pm^LEYDNXOsV=6xGW zB!nKtvfd8)!VC-UG}>wEBHRiJ#qAc@sgn=L%5g1crOmmL*`1#E4#3oqjRYQWf{=P7 zFg6%PRnYMAxk-u)DfX5(8Cp+~ULzlHe!_$KIOvxS-cb{!H943SELtlXm#)%q|4r?Q zP~rA22k;kq&^o^a*CkY93#v)tS6&6_Q>&i>hguu~Zw%mEW=5>C+1ZF%aIeG=^ z69vawJ2lhC=Q+s>B#ACmiQ$E-)0#3>VybHUS3@##iCtmp0Be4wE`K%!>9@PEL+=>M znvx7}NtH*NgSxzux;@V;QzRg(Yv|!4?DkCbD0LA9+g<%>*1eqSN0e+-DqMzD!%W%r z4x0!BRH&H^gyIf3uiu|?ZL(36mzNdUv>0ae1%-;vdwTcw)&Bu}&FB!EAa^`%D83a{ z#2y6iuT`z6TZ7d{HPf+F1>|KsUKbzdBQb+rA0U|Zk21!xFCU&HwG(JtUFzR@`?eSQ(=%>qUSJecEMy!^6X8MPPaGwimSL zZLoe~t$T|I=UTo%vL`bSrH?wV;_`5o)o8uktn;-5D7*|UdT=20JFV?ttcAtIx^1_P zWH`xyE)%90Z8%CITB6be8jdFiMj~Beml91wO!+pc+#*Rq+2%@pUpqlgyoAl1po7P= zQ{#v+<3XpERc#E=(<_XOFCDc4!g~8o-4z!Kn}6a%#W)IVMI_g-pgvc5|TK?EM& zV;*2VQ=98P93t!$Sg4cf09$w*l9byW3Z#0MJj%$YG!6z8{Q^Q!84eU*N-|fgg?{0T zi-K9z^8VvG0#y{G%=cODYf$cz*Yy4

9)sYkxx^8 z7_P9|{ud5vJsN&5aF$1DXEiv6Ra*%*;5Y-N_p`sG-_h|JjxuY1Y1ZjD2$b8(F!zGF zGsuFdsfkB#ceq<1!6HIPnGXS6%s%9wf2*(iNVFTwB56t@&f7sfGMP;?;yM0!FF+WAD~qb=>gN@Ys-lM7Sr51=rCZF|95a!EZyixuAfU2BA% zD-x~J<>9_MuQHspNewZH`MnkG+KRN9z+x|PgkHq6E5J{9*X`)`c05Cli(H4YTy=Bd z?1pTur9Cg0TawJ#VJe@$?$o?5Odm5Am=^kU6rEq)?v;3xI7*`Sh8K!8L5!rk~RJ2JCTyAK0vrO`dgJ~0QQUEPC? zd1)cHdYP11;u+er^D;;@Lm>edxYIv@NHG#+(H`)q<*FxQKV3s@M@o?5w}<8VF%8mq zK9oT4ghC}d4Cn=$S>J~%^THwa6NABsyYF7TD* zaH&c9qCsi^Ty%tcPH?52pafgAZDUwSdjWvSNY2?gPWe&uU)zQ|Zu3Zu4{C`HG}xNH zFx!u~?TI6ps5MS@peYHXN3Ddxc6syxkAAwdB)wmxE| z6C5EXls@1)=jpeK(}R1(q%@T}bc;FFcq1=o@tg27PDS*<*tck)0N9|cSPK{Z(hDEo z{H^eowY9zpa8zDaY8(1_Xd**-5uL&k10x^}6iMtSimnRzLerkv3e%<~&WZqy|D>G8Pddr=m(5HTI6TVu(!}>wh zFy`gd84<99T2dxf9n#mSXdGD7s;|VsUb6Adg~Jh!GHZUW`MO{3vwSW&Y;urpEG-s| zpJF&Z>I(;x04YH&;cxPQ5|kCn0O{EQU-luJB0CcNezj~Xmb?crrCB|4;BB&u1t*ep z9D`SEy#JrX`(qmq@5lCw@5dxQGkg+kwuGl?yVtEty$tBXRget-?VrZRPcHh()7NKa zOrtwufwkWvV0F>&t^sc$q~1l=ES64qMO=*btmnyoePy{SAh@d*Sy~YpO)uXcp}c7QZUqQqnG(M18XlNe%eXca-zRnp}=J)v-^u z^do?*j1NHmb>q(L-M>S#)XFy8T4(-;nu$tvd6mg@Ir5%+f{;EdHY+bfOZbHm%l=f; zKLazDLKt@Vxur}uPKESBEu+;;ZPFv|Nr(q+%DtD2i5B0=H-)wk)!*jmfTL}Cg!f{c zf0T23a&~3|+aaaY8~6~yu+OE0K7h_zF25@_0OzszdWPmp(H!3Al@12gI;3r>AHoy#BMRVZ7~Hzn9|YwD`jf}&xXY^>@MV)x7F z=#*t9n%6)R^46o{wugYvOY@AaR#OtO&tZttQ273bCOg=NSV9(u%!`a)`~h46J~)#j z4yx8$S_`*6AdjhqqHu;DNu>x-RnbNp|JonGp=4W9LS}Szl*xtX@Z4O-0CP@CV)$4* zPinDHZVMLo6b>#XKa`va;DeQ+edBL#qQS~mZJC1P%H!fj&ArA0*5qC46`BtT>wdUj zhn*3)K@m-FdKyc36=@W-DooTDzUDsSDkYTvt9M3=__M9SyVOWPsVuSl;&SO_=mAp z-V0^xE38@2p46T6!;uH9!|rI21_Yjsm-|u7UXb#xvcw`zjv2y1gYrI04dVuPw9_RL z60}2|-q7Wxv=hUyu9-=TLcXoSpN%w=`yeoaytXv49{jy9RY6MPirp_^oj)UtqN~OSC%~OK0A?wHAJ!`YUy4b=e}#J0dDJmSsi%8H zS&0j81AfbS&6fgg|8)`|2}%4QOFq8wean;>{TqVTAC{5zM9e$2qgtF78#K{ZMG-_z zIA6*?NZAwcn};jl<7WkUnMhtl5|N^?S`Dk6mP$Co&k@OS{mQVt(&r2O=F_nQ=>+r! zv@{@~Vr$JSu9B|Hp^{2dosd;V$I*^BWOhv)K?egpH(D12vWh#5ChBwE_5TjZI>1Wr zpqFeWH061QcK?G~ttXeHsFlXj>oA-ARM5BBeN}M`k-5*Z!3-EgWP$xc)yq;_%JcKa z8rCU&aEyMqn%$0GnZ^@bIXK$-0g5fWfK4R*O0knNq;AP}hO_?5n1zvsm{;FBCl~cZ zYP-08SxggHAB3*;mw^#<_VBHx#qEp42n%f%nB1^U-bwUt@NIaBcI&9pt>cSs5r98Inx`HB=sSvq$Z8mO|ZMf<rCDE_Q}h*CC;N(ZlWII8= z;*JfV%0M7pS`FS0b56?a0x zRZlT^?Ht@83qX^Wb{<8yy>qlonH56+Sncps1JnM0(s2AcFnM*1yksqwmOhlSrbk%^ za<*GlZYO5BV`2njf=>FkB`HL0>i-><)wFuSK*t_(i2ZbNb>z0$r~ z4|Y&|+0^5#^^cAtZlSeAex1K69;>6FgL`U+@vAw+s;SIDYds&B1EAvv7#K<5l4iz% z0CQLsOHQPoe@{&2P@q;0hotcvW##I4d*POEth&9kvWY}K<h2 z?C%d^(wMW*tGejUbhf13o^j@(jgM~$t9r5@jI&+w{<>AGDVKi9ugZGMxzjV0NM}=n z7CQ%ev;L92DWq#EW*6p;w7>mj5m)S8>;2MZhEw(Rs}ntQIg#!lNArsb;Xcj2K4C8+ z5AvVv-PCLvC9#$w5fQ6JQr#=eNz|cK+bOcq{y$K(|NET$znVNqsI`Q!uL^Fj z&f7A;_=f>XEO4DoCrjaNPm#wNng}zYQ`uFOempJuU%#Sbmj8M?-xa+S7OLcvH+rMf zl~|+9DAXLqy@HJ$k%-oNF%{MFVRUI1s#4Kc2RckR3bNPa3U5T(5 z)K{e8BkZ%kWi0(hA_xl0Jf|MR{zuKF-Xwf~%%Y*SO#PXuMqT|$kQ&(1g*$`$DbtMv z2{3~W!>rtltRqWXOKl)xPkS?8&KzH^${g{TJ5~A>vNTaNUx5CR9Zx!OyLep;d_wAb zg=)ixlE-{7cAgUUJ5DE5heJtMrOH_LKg+koeJutGydLjnC?RLqINKuSmu+jF+s z%$Czpnd7OIyL=39O+mVn1}F`bmzQ^E&qPN@x&3x$#zvz;JA4w`j+`h+e>T1 z79ey5OubLoO{=~^`dJl8fe;O5hjplc;Odt9NEK;cC>ep28zBWdm+oAu#Cs5M1(nJw zEqoLJBS+o^ns*j_Zpn{xpNXcn$ z$eOZd)fyK-6UH%!l#mW*e7VyRGxoF9I1!}!CBOZlOD*ZgJ~nF-O5};f<5A< zq=emODRaX@*zB_J&>6tS{_-^4v0Znk)ZC?|G*d8Zg)#{D9PXlp~W2%hNrz-}IAB%}Mgz(^_fMs+noTeQtD)<1LDIZeq{pq|V4oe!yZ znMNM24;5L$s?ybNUmBPN~4%@O^dCcj!mD?9tk0&=R>)Jh}CS^*E^TBy`5Cz>kEy{B9$ z&b+CP=?3w9rHuuUcaCTcdrl+X43!*O?5(~5VsD2XfBQsH_G*k97XMueLE5j|3{+5v zG+yv({1$;G%UlSj)g9H?PH^%pe`_O&)plJmTjul5>1B@OP}3YW`1lT!9?6oloSXMX zPW$a*vE3aO>r9;XnKRSxZ1RCpxn>LOcEUF6iD>NAo(3g8Tz1Lfo_M{L&r#;w*M|BS_4 z_c9rSwTOVHdfs$dbxziE1no_(PK7GCVbuliqG%j*K1WGNZmUEne|$K3B!T5CFsA>{ zV9e}Xy0FrkA55E&m71qjF&eTeE>tD$XTb%7!J^cV-rk-Tg_{N{Xvm@Y7HQ+f2DZoe6y@^rJ+wECL#XGb_8-`YpJ!rmj20M!hApXeg) ze?@px^OEBprUS$GKxJC=9$9=1zJi}g;TTQsV5!o%%y>U60A*E(#rJcd(l;_}^!d(G zZ8%CUzTDYk^Z<$yDXOPS8hxQ(cROJ-b5uMk|pynjb| z&(dX~TT;6-bRTX9$F)7qjN)LtSCcuaZAe8f%VD3xbm; zKq5%~e6pBBdJw(YX}TE+)v&dk7O{S?z9s4QBmI!?`TPkYf+s9^(0&?f1mOaW^FKQQ zKkB3THq>QXP*R!6F}X#GVSWYD|V?zj1_bSbJGqfK;VoHBmD7tZ;50PnK&?{H0*t&S2Y{50`?5*Tp-( zno09sg))Q&e1a$d1BbrjqurTZPg<%P{V0!FM~{_mjQD3!0uF)0=PflEbj7cr z;66I5HDw($hyEMa*imz*~|fBvBCBY=9r5)HX^R13xURX!_U4MacnM=0>B zPVaHp$0Iy>Q&ae{atDb{+h!{6v`Eh-FJz z2UgL%Kh&hvR$Ly#DC8=VSmU(u-JBae46Vb$o^_kqoAkbJN-qkZX2ktwlH?Oh@TWm* zc}1?T)Fbth0W~~V^xJjmL;Cj3*4CHr-o_^hj43-!n z*4WFp*fEHKk7GNkh!sYJq``l+a#>fYQYhQrZSX6QR0yATj>u3dILo;-PA!MK%jmz; zVwjTc!2M(&n#^{|PpeW&-Xje!!}oFOns(Ayw0SMxm=E98idf)3${pkY7tBLoqCdbI zjDWqkC7(IYMtSeN5>o=!l=|zu@_! zDhU_L|{ADtF~C#*FxZ>Eu2+p&dK#>&!3~j zpYTm&Yhyk%W=5YNGEA(QSBVb>y!(3Z>Uyniyvn~d>ZTLiO2K5GdbN2|#z7RULOW}N2&t1d>-IN-Ujy0MMN zAuhuN4yD@8t&iq0G3)tA3Sfk-c>P^|EbW?^7ZzV8F&XNkho6?VPSZKtH+YabY0FO^9@n9c}Q1fE9N&U4G|IPx zlr1-9vs>$mVXrGlwPtwn2k73%Y9~*SLUjd1UfL2B5^%eRUg1Kd@1T-PYC+>2 zFKd!5TtJW3a;P2L|5OfH**h4ZvIl`+O5Fi{1(p95QbpgxN)rG9Y?MQCQuzS~UH;E= zZ6_9Hyx9&l`K>h0xx(xXS&taj(=aefg>de6-i+Uey$NLCfYh17mX5OJ)i|Y$5m=97 zm?ix{e*j(fr+F?HyT$Xz-4S!xn7<<%FnZ09uMu@5V8aabwijorGRK9t`V%iE)y~*8 zRUwJ{Oglb|Ycu5A%4}Pq#GT@L_dQPpr>a@0TueMZ&EAT?sV(S6Y}DFHYg#>IsuzEy zj)h$n3yJlPxKD8YF7UOrp`r|zl~@Wo`3xUDDx-_b94>QyyTqf$!^Tmv4h=i^ua+58 z;8IV*>BJKvAxSf$dGz+MUF`62ZSxypWLGlrkLgbx^c`M27sFV-S5uM$H5V-(96DJV z)TnijuS8^qDg&pEgv1z0 zu!tXATAVsmM(*q++uUXjIF*&adR^)AMZi$>KKT>c&_c#eiXug3`H#Ec6^(8!ge54&i zrrthUt=4GBaGhu+SR9kjTWlf})PRFMl6L!aP7DL6Afw5mA^g3D?^Nj}n*kTa)16$Q zRc1zqX@<$(7bn-BMJ5<@C%+bA&o4R4F6bOcua?9;nWM6VgP3pC8_1MJzej2Z?c zJo-8ne6~3E@5N1>bY#}Xa(K_{oO&{apsccC7pE^Q!+zx-QwYT@1I#2gpK4-VrS4UY z^7}OF%f)@F{v6k+(xhTHlPl#+K}aTp{LGY1*E_h74@8j0;z5NCqO@Fm#^(z{qBOX2 zq48Ei75sY}RlD-Ve*lj#oLCCUaM*-uxJuSJ9vC%vRj(^9mrZ=o?M_GeMC_BmGrw}aQqDP{u|9BLSJ)&`1;>@0YElDqTm^Hz=3A+X- zM?q_ONaNDl!R({A@aKo+rY5^=SsRV}iJ=<0;U;*7A3^!@t>4Ok*#YsRC?kTw_qXd33r!3%iPT!cksFBl z@g5x`f?L}DK;YI$eEEdbTi#{9Ve@AjZ4gy@-!aLYu0qW1``Ogd z2HUWSyY0ypwM1F;4md8E?A0Kl)&%v%K;XH>oIcunKmJ4|u6Yv%VPk_rQO6XJn+W1^ zJm)bStJ2ojV!Nq9ddUKF!wQRu(OcClO)5`8dtI<;gI1r1WiE&WZ*BUt962-80k-n$%aAc<`@$_BKTbMQzW&VjZD1{W zSm#OIT;>t||6=bwznajaZP7>(0*FXcs#GDNNfnTew9u2#n{)v~m0kn{DN;iMA{|2S zy`vx<0qG?)snP`jsqUA(&%N)SJKlNE{pF2uKb-#{8JStXHP@VLE)@kox96d?L+tbw zdVYSV-MfxE*xPzeCW0CtnN}FIgL$H}NV%$#uHH%#F#ldc?Ej=~>Bw&dix_?>aMRN7 zuu1;xYw$RQf-)eA^w%xabz-_hJ@Zx8vo9$-*gIzGDW{ZW)D;lVDqkN3w{b{~-rUC5 zbEfaOUDfw4Awk~>th-(1U}hlMJnJKZ~0a;eoh zin{*nYa(THj>O}FpbSorRGJ^)A=#`T6qi~0Sz^Ar?EZuX9_jTJYn;d`pkJQ< zgXTMalr26}1C(l7nZ)ClgtyBMsc`PREHnCEWqDqK$i=D})KsN0Rnb(1P#|((J?I=? za=-&vh997LrkCq_N;8C$gNKD0iA#{K z*}Exh)VxDqVXd6>Xx7)%ZEnbNIt})lfcU$%7B7XquZ^`{zsdEp3cFNMho>*QVU$kS zi~YW4se}Q3uG}1$l_MbVdTY47!)nH0ve8!g9UW=}G&u7GIqL%6q+G?*PGk*Q5z0ae z$GR{-3~F)nM6y3VDv@$FpZX)*#~HYs##G%8Ob(T6!)alUEi3#>!kK!S^aCo2!C;7j zi8$a)b#zUq&i^2gO30%0rKl&r#U!K(+6{8^{m2 zVEM=^nkfgVyS}PH(!3)KITZ=H^5VCQ$O(?;u1{BnbR`18`8}$OF9!!0I;iRALYve4 z&NgT2wvY3^R8J6gDc{o3f%MCQMn^n*^n2bt^fwq^7&57-ryEF4oKw9mdwcSmjQ|(V zY69@ns@Buq)XKmu5AZgPChKf96=iW2E0pDjD&+pGj1q@U%-Vv-S?`;V>)^`|r~Rs4 ztL%@YW)?g^Rq}+)Cu^*xKs9|WKk0`F0XSRN-HGU+VX6A0%S$MB1~JB#+%ZqdW+1}# zhuZ;0?k~!E}ACZl(A@$4f zQ+&wTBUc}1)&yy_{<%XCQT-9fd06<27IVjZ>G#xx+soLtNz%btGUaENMWfj8F02eI~&C00ri3y_ZXA1Vo&0Ro$7a$qvKzoXP9ssJfyZE zySm9`=$G#e@qPKvoOWMq$IAF1;VJj_H+5$2b*irl`9ACbj3kAB?1pSQBt&{v2T3embtk&rdwJ|;N&<;HVmNAbn2Q8gzc9_G<`|5snfN;X9tgb)$v zKBn{rCWm_26}h;ulhsZ_`($NN(~^_Z-KgoQsRpu==?1IRpr@b2vH%7&GPK&3T))U( z5li2+VX>Qho`LFK@E6so8msB$0Rwz*k1H(sSvW2z?;qfUF4)B<9RJuMjQuWsyo`7A zl{i>5?Q%}@Wk#hE>rk^xJN*c?kw)j+=7SPyZ`yB0$1RwmVi54<3jQ3sY%Hf|Ef0tD zxsH<^$tGeKn`S;yI2bR~r*R+nfKXnZhvm9ZR$}ti9}#aR@F!$qHVnOeqe<$tREy*9 z7otsQc?0(?)IIo|TPE!v8LQZ`Jh*0ng(tM7S4PWm;YW!d(!(a1+My%!u^!sY)JnOA z5v)bR2B2_v2mTy2<70(jPB2}sigEnY-wKt*m8M}4&TK-~!-0K5X#^Cyhgw23pHOI< zt-P@d>t=5ewUaT>HaJ!fCQt!QGfiT3Zpo(VFUyxE2nO_R)n<^^+s{?x1~bS_VN3oA zk+1u^hd0*h&CX{~U076#5S>|%xQQg-neGeq6=nyqH#f!~oZhN`2 zTJ97x6kL=4`;Z<kK_9qnaZ#2tlv)ONTK2>nEy3E&=m zD-;1S;mfyn-`|^!4*tr|I=G&kd|ca!lGq$X;a1P@%25W1s!c6v?d75CcwQ{$WM<_k zOfp&xb1PO>Zfs=NsLA3pw%`M9PVXKYpOQAgah%1mB-T_~3=`rcIilG@xd)zot7fZ} zjNBRgI!{?2Hy)=>khpYfN*0DybbTGMIS3o0k|gWjjp7$d)?G&!N^{#shX7et&SXSL z1@WGtwu-SqE#)m~ByZPhy1!m&iP9=y9y8gFkifKf7BJjy>=M!$x}KJctx>H7yH;|c zJUgYSe9AHA{6+4%(8>G+3GSqG{A|IJvs%&+(VSN}SH*u0vL6iN9D1Q@tdLOnl|;3l zJ>mO~!6f%y_i|dalzy7++p>p>hz8t!Q`Rm3;1%H75&ZukUFcI1MWJ7ttBEwzOO{xo zX>4o}h)2yeL7s`?wInXv9+%)R@4LMrGVdu{>Fig6{Pt24g(bA!V1)p(E_US?)67G) z=CZ`zau~XFx}mwYxA+y(ZTo1y-VG1xQ$W&$tyo&nf7yA-p=YdE^)@?I&|Gn!J0=*D zpmN-MXPawir ztvl3dZ7xs^s2%{J%$;GLMo}hI$OXJ|E4xRNl$E+z08wD8h`B7@&#F5+{4Qd*BjP}b zh)Db|%AZI$?O0)PS#^>>GxaZQ75WZws;HYWaa=+^?!sW9UOxfm^qNWjKRVHzR!I>f zjlVn5ej#=Fw$k_Nk%0eI|NLUt7!6e4G?!?8v450C?S`~2zsqeIw1Tz;18klLyi%@n z5sh>SK3r6ONB?n%CqOzv)1;{pY!`W)tAJ+a;4p;1?SwAjb;Vov!T~5QCTosuj=dHU zXYbA8^s#8Wa_rl6BqjAKau%T#cWvp&l`?wo=G4R~h|cS2$na<5cf|L#SZCHmj-EHv z;Z6!wlJrqP{E1k0D~~Qyn$|V^%F%FXfL=+RWHtdjm@;8gxSu-Qy>Aq|U&It{**5he zyY%1Bj2b0uXWM+G(S`Snlr(s2wFEb}7L33_u(3E2d>XcyTGR3}(e&?yfpVOp6 z1D+}w0EGy;&wh?nk1~e0Wj+U~>5K?TP9aP#bSu8E!}Xug*5u?@eYtPOe?hS&Adu)o z$FnZaLgpDVjm&B{u`PLjWnOy{iJp+vg)k=A@C`6oLUbX1w^(k)kJ?LCtz2AWM&Dzm z^GPllXccQG$f%V;kf~NJhpvIOCq_)e#fRefvLBM%#D#P406%qgh_e1GYE<0%XOv4c zo)V^xAs)sIEwk%v0rj3*h5pgZH{FFZe`f!)_9ySWb@x7zn{P39S5HK z7f2VHyV7?4{YKe2PdO3SfinIV9jK0=w1PuqwM_|{bis|~!}*y7Q~|n78CF!^SD#OS z;YoPN#OT2EA3f+3d*yeazkATR+iEZVnFatJvMJnrvX$kq|3?n%zOkvYjKis6`x=EW z8e*k2ZDZ1Ae-w5sh84YdHc%S<_1UX%UQAxSWQ80jSLMp~a9lcHAEvnWW zvp!)JC#2z-vq2`XKv|YAM;3E8E^>U>NUh?Ttcu}T>l*+Fe z_cK0@jA4acZbWpkriQyUg)Qi8AgaHzYqhGxuIi%&xZaaM;leR-b;*14 zCzM`aF6so?J_nJ;6w7K$xKpq3i#G%Z@SI6fCzNsFlblaE2c064ORV?(M#tFXe|<4L`C^;{dzU@# zsl-dk3CGu;LYRQtJ)tC{yfF?We0kb1BkM(z^ze_GE3o8&kD3;}K(a|kTlh8-)r6`V5kidQ5OdBD@&k7$Zc0S*pO4`n z^Vuq@TfXOSF*{}*F}Gm*A!N`WD)(R)znoLnuVF|}@T}c_`yNk0P%cV>rQZZ8*@enQ zo#4sgKg=BQwCw!(Q<|DhO6Z7BFUbqx2YhN5F#jOY@*`m{JgYFhnZzxH(L_#$=8B0# zRi7#(Jit-$Uo`_TZ}Oi}LM5-jkY|&>^EoLCdDR4bsgV$9FjSlc^lYsb7h>e`FS!4Z zlFiolCvs+2e1diKhm3kPwO0GTa^(sgixplI-}{=GZpc!0+~1pRR2Dgp#L~h*=`eH+8S?8t^dBJeEc_~XFi3So zH1CEgBIKkn=-SrUou!J&O{d76p{wtIEt>}vK`Cw_$aEe83Pk_UU%A<4u>B;eM2qkq|7cpubSk8f zCoZt;VX=SQv%Zo!J;kqt#-)lS043F1AaZM|5LE--fSZo_qd#{9Nl9+Uqk~38W7be+ zGnrE&7kF($p6Nti_`Mg&to@E;Q-hYKAJmJW`fUl>K*(FS#Oc}$<0t%^Q8FJmr8#v= zN^DODVNOUJz8N^4FAb_N8)rScKJzH)2>q!i+GMK0eB$I-HrpEbJpq(qC0Ltsr;)5j z%h)F8mm%!?O5YxDFdkfWM0=##%wqM=D42?NO%S+(vY3jR7IEcO{w=N;`~}cBJGG4= z<>B#Kl}R?YV)QB)gunRK=bP!=l+Mk;NwjjNI%!dKQdN9lSy4>lOc?gaUSu%+*r)jo z%KdjXHBBhaRmfoARt+N=Y#47n1*ZY#Ji|JSjP*kywBg?j*}+V25^%E9!7d@dpOIHRWbcXpbW#a|7s&MW#q4fN1I0Q1fGu1PF3V zbtO2TDsHqd&@rXL^-c6wobn`E_qY6{RHiuRimJ5$b;efZfy>^rd6Sa&!G*7}MP}#0(x+AaY5khCNef->w z3cN|o(qE#gmntFDAKg|PCTZn5f!a+}@txz&E+2MV?~E*cix-kcd>)pW&v7%UhL0%v zkbp=Sfogj}o}_Ix*yRa+o%vcbk&my+%tB1JieF6*)f~Z%SfeybR6)MI>Fn2)R&c4* zbs^U*XLpglR|Yym3kgnO#+(XEC#Wf!?xG@xT^#5!7+PWWTEQ*pY(~XT?k@la(eAq7 zpg92x6eg?lDPA42@R-$Rb9YPkj@fz7k!>6$ZV*4b-nYzHTMEF%s%@>^q5bfPi}s#0-?NI^t<8y;9>^QH3EL=XOA?k9f z4l`ugQ(V_JD>q0aa<9`!oMlUI7cq(U%V77^tE-BijM+2^0F-&G<5j6Xd=u))<=?_> zl;03lpIWQlaGaLNGE5AN3*#>5d(xe0zG0u(C+AtFaAq0Q;$NW5QdPR#Bbl}!^S(1g z+m5ZGe|F~aS_yLJgJ3=g881l@a;QRXP53PQ`LzQHqP1Z+Q2L#x%_(QtT)bpLD)f;U z1F^#0*jpH~X0#XF=lXf($&2ob?-+V~$e4h6pc(au&e2CG$D+BYiE)$Ga&X6oB?$uEkE>8(wNQH!jSb z8T=A*SauVlk6Uo4R7W&jHz$pD#VI{w$A&5kXI@TwS~VERDCCJ?dGAx}U>%`I)K)f0 zd-v-~ztnJVy4WZONS%}|B!d}33s|9?XC$CX-&zu!+0xsG-OzvXH4d|@SVAENhd(!& zDt&1h?uq_fL&G%H6#5t7_GB3$D@m6Mh2E_A`M|`^Aee7xN7MG1cL|F@Q^ELZb~^~s z0eK6Z^X0U(Vf4cDyO{E@HtWr4O60dMjmA}qOsol0>F=i9czi17L`{~Clan5%{Qc(Pb2%3YuoCaRUW=uy3AikPZvq(nDtJ^DllR;b0DSywLc z*LH1GYE5)p_f(C}-E|SVOT$-4iQ&dSlY+Zl?|3e*8L81DAB{Wvm&w)8R!Cc+aMLL~ zD2gDvh#)LfI6YG(r>u)EE5i4CnOB(dDD(JqrS_BLuJTH(`^)X&G%L0=Xv{_(X7F!( zcKj!NY6*y)&0|~Xn^*Ta(Wvk561PmFqTE#aFCFmSWZ;m`Cua*tcC2Z`R}-@cC`c(9E(A zxY`*MCkC;S;B7Cv(IG3}2sTjFBrWP!czqN4Dt#!+CP9vFO3ZV?{)|r#Oz{Iv`7(;f z2tPzU>Lq~OBg=BsBG$0sU_Vsfhy3on@ZM}V>ivG;?`P&{N=U=5O7)cQ?J37;e8(-LvDs$NnuLe>-oGEE1F&xiKk z;sSOK^sVePw;k#=dn<*vq>=&oZSUAiQ2-#YtPV=RNEM%WK-*mbjTRyg!y!mJd&B$i zM~lh4)`mlfQL6`)pu6I=y+ z!8Tf|aOAhX-T@#1Faj9(bhWFCvnzz`gOmT)JQ26Abgxhk!FnYgD=8ti){lGn6X3Td z%>K8e*^k}KkI9_`lfw$HHpv`^xBc-*gSZn5rG`}3^?HCt0Gsv9h-KXCY|@xAHRU!* zc``>Fhvxal3Bl*O5iYiX$sXSK_zQ3VmA#IhG+(Ooio0wXmsH3MXQiY>2XN=ht^9qh zXy`}ETdMnnui2|>{W_d)LM%vKRI4#Jy0?Yqxpwu(llUTN3&ww1;&U^8RUx!ld6Oai zFN&rk<*!#dwdx2eCt2$RxhVJ_>fNs z*J1RE&Oa{gNX{?x!|?}9n@)%ytouu5)hA_T&$sT?K9#RHg6}A|j-NvvR_p4Gg32F( z%{4H^mH7@EHHB%Gsxrs$u(K*xAd^GI=*dCL;M)eh+_Ir*nUy^y5&Ez>|4$K&koFOG z4`~kEQFdf_N3oG9X`i(b)9CFcc|_fhO9SfUAyr?kAu^_A_T3;4I_ zhpqk7V%?|64{xYsRu;L)|y8R(EeNrdn*dNKszdPkb*8u>@bV zf=J`}&U2+bV#P4|Vc}B8s1=rcmKY8%wTtmn^Hh;1oF|~cREZB4ca&njaIzNKiT?n* zka&RPR*-q=zeQDD^g3ry+Um zIp!+3D;R%4I6lLx{M2y&Bsnue@F`**1+uw}eIQH~BPCq--?R)08IDgmFSvGox79r85NS0?!>iW$o6!`}*15wXfp^O;}uF zt4qz_AAeA%gnW+82jfDx6}0XRu`my;x)P5!UOk#|=}LUG&=-Sse`cqUtcG&yFE6|6 zjt9}^k7%;yDpxxw$C|Y*mS;4jCNfVIUPBkd#>FM5B(y2uk5}EHGTqi(>>aOcb6IiXFsQ-6M$=BYvPCVH(Tlq&RkCX z)M&)^y`dzgm8@c6RYCz4_fHUFh=RLNdeYo?bHsQlX@;Ct(rHQW8BR8(ojxD{)mJ?8 zVn5(3T-?lluY{i{kSQ~HL?{;%dh6;G;~<8}I8n*W<2B?f=8kEg10Q2}zwswPWrw&b zEL@uC)8?O!R64_+=tq(dzO#X+jjuwfcg^ze)GnLK^Q^l>@$5MvSTxiRy`Q1 zMqb#ezn@o-dB=i!&V4oWBkzXgm6p3`EX>D$Y}HxRg083tt6;P3E$Fz>RfRT`02K`y zqgh$LL2RhwEM>V?)~bldi|44OnjdCAYa(rMKyFRs_fR(C`O;GQth79RFd{@ukXiRT z&3$~|f7c|Vu6wzhhz8G?0!GavHQB~}43!6|?lG>fJ(y8+M@)OCr6ENFs=mK~*ij<0 zNVozrFKp^)&>_cuYPeG+58S8gd!Xvc+j?0f;$oGMWfz}Z{0mU9?^~=S#LHUp>TEY7-fGRcJiiH5-Y6jk06}Tetyw}v#{7?| z(mHBAq=6f=ErYYowyfOFDjc+vYc|X%3=b}=J7-1(v$!ORN-SnWJlbmMCS`S8n+7S+ z?q=f@2M9^9sw%t0z`7rO?vGQ66Q^X&GNGe(^UQ<)!z%CSK5iBvR&mAi-<9{jEAM|- z-v6$=|9@8AiAo(W8)HeI2K2CD4?_D!_~<1gqK^TZre0pgS49cM@QC-y6L{yRd}Nhq z-F+hbHPh@}S^2wUDCOIAcX@=CnEW!@u7 zMw4(>peh7-3#2N&RTDIS-*LpxG}~WMz+`GC>u;eOL62+5X~V0%Oc~7D_S%MNj7_EkWXUK%*un1 zw;`iIU4@8WGby?}opLrCvve*{#8XdIG#VuUP@=XD!-9p2UKg949;Djc?=9p5pOWG} z)&IytE1$gL)Ssk6SS`aiDe_W<3|%|Wb;7~O08!e9l5kky3NkRO~`%MBHZ_!(xb1t3~ZQP(1&(!bi99@M#^&UyWU1pojer$wpxSCGWwER#vdBFsiy4jw#gx;1PrzVS)}+7F zT6v-EvM%H6Qn6Z}{2nAPI9N`CrRrIoaaW~L;`69@$0}EaU^*iBu(5Z>9_fQur|`&R z9`L-e&U#hC?udAYlX0jT@CqR0_fWFb>2a&(i>I2nk2jt8_iypf*$v53hr4s#4r2q2 z%nCSJDm0rM)A;4VRND9%0qwOeyOwWqVt;8gWes3pK>98&6Yn+lvFzy`+=VRq|2+nQ z4R`nZ^>KFF$#HJOe@&K34-RK(el(oCqt~9Iu;$ARsz-o?X5AbS0%8hHYu9`g=m@vz z`L>FR=R$M47KO&}W`5F_KOd*?)>A5nzh~&A1(DRa4;Stt!+DZ|i5PT@036@MC2)V4 zzjdzpr#IYu*51p>h0yvx(kGFmKT@5v<_@Qdy(dro-%9nLHr^Gvat!Zr?tw|%S>eWT zyK-_>U(A#&>%}drIehn^YFI2*0_&hT`W01Ip2MW zKN*;cFQ}eqaaa{P>Gzzt$LbE_&gsj2u+a9M^8utE*v}3)ra#8zmU*>J=BCn8OiB8C zj`UT#HR_KqK=iTcX#nbCk`ciKdHR=2mlfrW*kL`T_cu(iyM3+@km9)F^_xLfj<9qd zfX(l*r9^L^X{a(LsxB>amx4FEG~O_u*rXyDm4AyHe2bMOW{WHFyW{6KlUwZQxIg{}W$x~lE{FK`*wzDUhGj(s-&WiB7&hK&_vgwyG`QUfaKxEjck1 zd#HTgQD6DaCbrX;x;FvaCX*W4A)}WgcWl5fjdxpud8GErNaFHNX~z%@Cw0+iVVv3p z!XIVwsOzQdj9cZe4?;d%>SvmOeH*CX9CE5^I}rgAr$6ld1<=|pD{`_m27hU{J|ORg z$rov+v=O^?mo98^36HC?kZp6D?4Z$~+Pp*)7k)T}(PFjl=DVrAtcyUE9TRZ;{+ii; zL62x`MBwq99apXyRRk>?qv-^FeDc``HXw{(mOPwSnIx{<*O_4?~Mi;m-rA(w}TEu(vGP418!2na-VJR3J#9s6pja+~fo)xosSc ziC;OjKGd`>d^OQ+yPdFGz^1TXpsN`FOt9JaE95acfhs}y*1E`)$xbzPn@^hD$_rc1 zoe!bJq!K$YLQMYxgjIg^85g(#OGlDM?zBg zbF!@Yr{nIdJlS|)DddW!q1!=sLdz&Me;3@F2Sda$F_iNZ)KXH!-U=ALlH8TT03ldE! z{p3=CDNK+0017R7QZCg1|M?O`v;;}WoF>1;Uan&5;`gNIv^>Cs!Vwdz@WP77e`n+yi3P2J3v&C468c9bES22*Ywv^3J&U@sm(qD2>fVn)VDQ zi2)aYTr9f=pw{K;?shIY);7&r=Y6ST+<|Aodo51g{A2doPAdH)(nWC> zQ2xyG=h{!rOk5g2l)MwXlq`4{w}OI3U?MJvPzq{5aectRO=p=urvt}Rkf$5bX8$@BK~y}djuQLPf0knK(O%m-=u>5QBgSw%sOSwH zg6g!?K`r_7JD7uSRS1gw1$$_XtNcjj!9$Jbp9*X58Q8pZdha%+=BfE$95tYlK`i$x zFG4UhSD`9M6!>Sgt1yLODfTGP$%1x(A@mp&%i8D@C`=d-P^u8JUSaA-K;|x;VSo0w z-OC^_x$uSP5;#M3=3l^FbnbV?jFHatV4Ys7U*7^#WWgJqGyxxqwJ3w;5iNTRPD~ir zX`JHW3Gvx;VsBoVA?9nydcK)* zKaL9PFGLXiVm(${jEvwtcM{1P{ zw}MGjRYGAVG@XDNb1y7zKvvScbmGz5^^R}}7?-dGYf*J-7)E5TkC{;Oo0cs%BPHA; zt2a0Mk9c?8yr^icTG&5$@RNOdL26>Zc46eu1nZ2( zv+x0p+z94KYxkeoC`%p|E|wVleut9ADeJ~@V?W03{YFP!2#Qlph+z$ViB7i88?%nfor$=DojFXt ztvDI@SoBngk4ee|vRP);Q20Cbyn$S7Ew)%Qe|nmB7WhAATTiEm4DJm3Yk7S!``D25 zyh$y&xw1bK#oE&Zr9g#c@#&5#%k6ox^&vo+0 z!eNZ_)fe2{>G`NsV70Zm;!q~~V^5ygo@96GzvNHe@DVXsS8$_?_n%e7|EzKwWmdNSSs~1EN*9PVm`wiX z!bC2PGv)FrB-zwAdp|m;s&XYczuQqW0n6+2oVt($4iyq>w@UT*88V#~Jhis&UhrQ+ z1lU&a!8!Q)aEFjg{pWF`{P0ke04IkBAfILZ6f=wwrwUY#j-D?awwrMCll?lJt`{Wji;4ilgO4G)hADMT3{3udujgGCM*;p`Fi6-emOnW;(n zUjTfUN%ydcbg7*rds;PBFaX6@gW_F@e?C~7!P(-4p=~6fkEUI4RNT?wNp-n%!i_(+ zJ_mex5?CT}-s^dHdojj1_Egc*>hLY2Lu|`#I;081P3d}z>*|gY5%$JLcIdjYXlv-9 zv(ZRBaXL$h#hDboV%gY=M4;kXA~iPbl^Q~3Q(5&dz>A8A5!CWi zX54>Fc;hl+pY}69=)|a-kFY5rH=)aYTa}3M=bN8DluLf+81^(SKbfXExX2lNzgyPa zU5JE45fR|YHjV$zHCAlwh=0_4D9l|M*=az-MXE>)c}x6|gup4C-;!W?+aWTl%7xVk zAx1{RltSA(*~-?dVcY-3z7oxU%;Pu$MIyAffS#&qI$E+F+1{R*rzFya%iOcnJ>o(q{i(aS2 z4tkA4ZODa4z3;ZKEDMSKU@vJ+T)AJT^bt_1tv`JrS>1H+Uh2>7=f-znDfOoFOBq46 zx_G9oN(z2G5Dl@3J1*?Ef*v=f6Wm4?N(Zm}D&g%P`J8<1K+GM8F0RMipvZ~;0<1gf z%wsb%JQ-fjSwKq71i8_`f^@?w2Z8%oAn0r>k$p*yiOKGl$CQ(&Os4}eyqR1ED$@f2 z_g$Z=&tIJWj1MmY@}K$_4=2{etv}lq81&=i&J+P@D8W?PGrlTOZ;GHZJX;tKGUJ!69;s^qq{X?rt=QlkUXJphblU)u;<4e@v-4UjoXJ8f^4=Y8!3s-_jeVhxX7F-e%iR+zpLo_Vx?ka)$ zi#*Bt;(9QurMkNMkm+sK2W#UHd3|?Dy2(4Sm?!NL`K5@xk$6kcFXPopN7}ccT5fNU z=zxa}(O0Sn+$CK7wb?ATF5&(99`kip@^HpAd_uMF>A%XePE_vRg|iSwSb&|Z_k7Z# zmDXqU>tHjS5Jk3L9_^+I2MAQZwugNYxy8)&fGGp+&HEzZU_+%6vAI@P!OB12tPs-o zdQ%LKS~#;eUkr^Ar+!)^(%9yrRjK$-3H-cY^gm0W-YLB`a#B1gbKr*8htmG`eql2n z7bW~B&RsG(OK_~^@jDOdKZD3~Ru5($xtvYPWwRzPMh>2HCx$nut-2@uVu_)6HQ|(# z2>CgQ%U$MCz$EP?g1JAn%fg*!o+z719J_j|-9J{e!;A9G4` z6EcIke7W)5FovS$+5V<9TsR9_sVZ(`=RYp%Ohj+O9(4(maE7M8ft}6Y%NmRu-ocjc?a(WJ<)vk0bNVcWg$knbmMWR$ z*5Z!(S2i+BBa{-0YwzMv@`Dy{i}#zDRAQ0FkCHY^j*63 zpcFhgR1i5+#c9S^bj)-M)xf-yk4fEE)xuxKha><67) zCq@4)?n>z+o{dapRYb>(EY>Fy!q}e)u@~rs!9Pd2M(AC^!jS$~jL&bP#^Vw1ywJ`` zG+;e7JVz7X`s5m)Eud~cQLeI_toT&x#PEbsRY!-DyPiG2`m)N&zAwF83^hsC0REDz zc;L9Z>N&l-(*ks_ebmL%%%)V4fp5C1U_maKk%00{?kryx^gG|0$-6+bt0NlIBcbP9 zs`6s--TjpZ7N?t5H`a;QMaP^KtQL*Qz69y0pcNVA=JXKli?h# zyf#J}GJB#(aqyKryMDob!?lI(!XnxHpy?~FQ?a##tqiF4YmNN12P9lPBP1##TB0K> z^*IYyy%oQ0^Xj*e!Tay-u=eot#FDw%ti3VWKVMWFR*7P z;rPu$Nk=`p_-q>3eEl5xdr5Jg#xyE_LNnX{C+McOEDuV|nj2}f!SIO0 zfPA)r>E^W|pNdGHD zZwHa;VC|wyxZX%+R9DO=dE8eY7EU9HdDG7Y)TP50--R}2W=S*YficM^XJxjLAWF*; z_VP9bh(bbcUNWb4I!XTy%siH3iA0BrOtmY=&RoVUVkrKvJc zSp(Zj7+u^6}xnobuwoFIAlUJTHfz0p0g$fV(uH$5;)~ zwiv?mt|eCrdPw>i{+p#TPwaGlzWy@*vAR2}K@NKCZ940=W|a}*Q_gP-MhZ2qguUn6 z$L5 z_KQ|KmQRcrynf4|{}Vm3eDN{=&ZC~Hc7JDxUV2pL8P=`4tjkEj$Z?^EYkx4;fL%U3cB0;4 z)j*_a9=U44&AfM?(vNxX;i&P+p<~mNR(IhBA;dRDD-+mWn-i(8dovMja2xj#iS|J! z2NTz$wT(8Lyq;K9U(Z{A?sY2~^*?DUJw2moa5j9z-fssn0J`qJBP`jeNq$Uz+r>yHJqI@-gx-yt2@%jWo=P_hMD>k^0-BT1-N8DJM(W>88vRs3Ob z>Hdb!nt${>=X$Xgw#TjLWXRzYtK@}Bs*=iFyh9(QTK|Y(#cpG{I;6bAY(05hp;D12 z?~b_Qc-r$CY>47@hd2vTn{~Ex@(~Z0tnh0(%p>skg=)Rw^6i;jQ3}qXIyhGu9P=6R zc>4J9{WVhGPjU`mk6H4&eu~a7*(Iq5ykVIYmQm_&DZ4o#u1z5WJ=B;uZn;iq$K1JO z#-EuMt4Ygqvq{Z&mVRdos8R{4H~S51QJ$#)BHRKCFICoyUO$OF+_IQ)0fS1=pp@a% zZzJUyKR1xKcS1R5#ZyuKoCwA!I%s-ePtuyYW++KBK=1hR?Pirim)FtE6=uVcEef2JOGHY^O=h3V8$E9U>w7CP8oIui}4Mili zzm}`#z@Mp?4kb=SJJwruKNb8oW#Yb92<8LNT6s7{SkZh-6n&^{0;SejWr7SG6@!^# zvKnMw4Fw?T5dNyw3{{RjcR$-1TR7B&QLK7|ZPmykZ@Vv~L?Len2*mBW_7W?f(w%PZmM=LE@4VgIz?y}>@FADN%vP@g& zV?*SA+T)WPZO6w_V1AryH~*j0Wcuw9b+>m>Kb;yP0Adl;C~@Dm9prpUm>9Wgmlj+V z|3ccaxU>&54tDZpscmVFHJ!L4Ijb1cwwlf_QfK4yH5&k?8+h33sZ(uPG+}Nh(oi52 zZYfZQ&gZ||PkKx7Dx~OjMKl=9s}%&DlL^yn&jzOz~WU#}mJY!%%gwPFdMjLKRtnBb|b( z4swNe4aXBo@}Sgux$`~u^u!pcDd3G8&7H*fTNhkE030`O^G?n(MSQ*P2n+es>@lKM z1IhV7pGGZ#(AIODpcpV2y?*7V`}(c7X6)mnQw!Ct_%HW9XUT2FY012n44WFAD%SHd znGVe7E2R}IsO*~1iEa}3L6uZUp z>{|pO(^&_Xy$+3LJvMsc4Xi_6PaR!Db5Ui%Y5=m+^9keso5aAF3tlhrZ~X;Wz`VYo z!B}inh7)cTqDf&EY}4aR0V3f8sN)9?94j>3!Lpm4Zi^)^d#mokEKFtP#qc1Y~yl>p)El z7jOi_g8rBQC&X)sCsw7vMC%rCW>9JnEc3-*OB|`C>=x^7*6wJmhd4guXk?@DqceNp z(8Y{POh{fJdAM=ZNr3bv?N{qqBamDZ~7Lgu1ys(Ed2a9X8<=k6PH{+1baUri^K~^cGOc{e5#+x>7gE5%+Gloa?t6< zm2=Q}eOd&x#HFTyk8mrjKX(2P8wXXjWyuPUJ5v1}AJF)Ja4T3Yfs^$~X#Y6VO#I^r zvXtpxj5TfR8>J<8#O7)`(E2S_x?n0z@#^Q}<^m0ru>Dasm9}6>$+6#Y=3Kj?q1HGd zX=5-$9x)tduaL^W<1zI`5ailr7*?!xpF%=B7nRsU`~Yl#l_i20{PCH@lzMBBwnSCG z6fnmk(e`Yvfej08{Ix8AU5kxSkNpRuD$r3@0a5_V@sv=aTCZhtyoapzzmWa3Ut5?VWZ?Nt6}asm_j zGvnFj>uK7_K@7d{^giZas1D33L` z$=|Li@GvyN+SQKZl`mX;X|*BB7Yu7SGIf*|ns+7E>d@WP)jhMGck4#F3&%LM?C(Oy zOI=cYIh!d;zYc!?tVMBBFw5JpqVpF(AdZ+Lso(3dC-CpwfaP8XV06p>#ol{GH34p0 zqf`-;UZi(~&=iyo(jf^wbV3!78l(t<6b0#>5J08(KhI4?u60+i2mW&8I5obio2@Zskm(tU3cHec;fxb>L|#nKsaD z@68>5`p5IOT`dB_6B=JHV6Ce*PgDqJ-;a@OVkPpDgZZpvGAp7#e`!ehM{Iym^KuT@ zW@%jnn~JAZ$RMCg^w!{;E$hFK+ThYWK1!l}u}Oku4ap+iA|4 zqKnQUqOU~+AxuKwoaFfM=OnJNjt%@%u75R~gAZ+qx^-*%4nIcQvcbxWU*mkR=%$A; zKLem&y8JM*y@Ver$n^!d`&9qEB{E#xrl?Tqap_$)x9FC%SMQmj6GX%y+{QA^2mTi=)wtq!7UCgrzc*>jA*z}3jxj3T@FuS-S#$_5~&9c83T8uREo zwXhLzW18$EoR@5tWh#Mx?Ln{HNuo<}-thGMzp{Z>1OJQ-h{MMQ?5gnnm0*+wXF1Cm zxtV>S&$d>esl6SCJeS0#7RAtPnHeO340oKa>JL$%|Dfgx{#%XWCc~ItazoTzeKhI| z8;s3%N>poywO;+#LS`1$vyenKXGLx&Wj#E>>xu$Dl}a!|Y<-5vZ^F>wq#7&Q>-NND3Ll=(CY69B+nd?KT zk%$;8i&4}56YBYtdNSkg{N;f-J(#iZ5>|U%zP~k7taRW;uE(3cPWvjNjJzJDr2EHA z-#EHD?{0JWY6yQ9?eS8N0~lYDwSD9qG%-<8T4TY)|C$k7ZzPvaSgdS+{{LDKJe!8# z^l?WVlg6G<@tctBkb)4TfJ)jQ0x@?c?;mWjI<{3Ewf04MnVL!d#p$1EF;e_;v@ZOJ)VkW4iRKHU!b&zNengcl;g)iYskTjldNo^)EDTWmj% zwl;gv&mO{+t3GRNW%bclz{Yc-A)BQ7%?@Cogg62WA?MiEFMCq);5kW2P1`nRNmh|b zy7Cp4g@Ru;f+^X3BkiKSXS+*8X!kkr_;gT?h;Y+h}#@69ct4^N(2y&Lo>Yja{SK8kbD+zE%vI?7#R1&kN^8}*)5{;0AL ziYyaJ1c<}K2vk{qUP+;rI#i!-S>@(Sc4@YoL8gqHj9Et9+yMLHKqJMM-Wy|`1g6VL zeY=#&tMh4I&U1q)oP5=zG@`oE3x+-e5Aoh|fmCw9$a-KDSEB2wS+IL`RiH9#))=Pa zX263M+kVm$)Qc!Grf>sur81CNWi55+TuQEe1a%@alwyeW`{V7zxvvgPri|x)Ra>`Q z?B^~tF;=%}GdTvN0L0Xq&oOw9@%$~wSOS0)KNY8HOp;li9{Du@?4Be@0VbeZ(hZfN7MCxW0HH*>E+1*3P62X-h zyeFt$1{Bk`?3qJg#zyv)_36hEl4DBjTw$`8e+cA1m9^e!^o;&lk2A(B-o;j03)Hp6 z`3Ufk3lxsMQZeM2T;tgCb8b^Lg;M_Zb!TM6KA)DTROhi^O@=dPvLK3Pc$KUf3E~%!0hj=AO#wGGrpoM=8Q6g4i8RGDVvSn=X}h z+AEL^ZE)l<^9 zo})?U_=of?$^aPp%jbbyCBrxPjgX@`6bAMrD~i#+VLm7ru8HZov|`~<1OqK~78797 zl*q}qISW{+w|1@X-XOs9Y4pUcMFk~q5 zWI)m)zNxbreQOLdG)Ud1aeHmesAEIIwxU#bL?H-T;XAh(hHPT_#i_`!?;3dKyD|Th zOP=_-vT)~l;6ujXfZcn)#!@8i9u%3Juv03~0YX||pN<%V4D9-vtYKVJ?(-Rv2ZfTh z@t7tRP)N7oR|>p}#QB4)+!eO@sY{xm>satJeOzOU2pngky@lw@v$|gk2-1B8SN4(% zZY+KBcX-G-TG$snU`%l~)cU;E;(#0PL`J?%lHCcM%o>{@0f1yzr{IzhmC=|JjC0tR zw)%)2?0EvY_X5A{1#RYW8JmvAM*MPyqwmONAOS#~5bd|Z z2Q5KjbS!ig!D1IGGARzMC;$)3?}ftr7L=mY$!Ah0xAXXI_2vW3^~Wp5R$i zBh9DJI3FGx?+|m}d6X%?w344}tvb|uh6#=}_JFX1z*F8ONc-2na7xFW0&TlBx>31cdd>U&Od{c$Ns99OL@Br z8&K6^Bf%#?rem8e*Qb!aqM}V#bU9EVR?c+>DKhv)INdef;tU%x%1*rm>5e)`I*!Dy zKnYdTo|1#g;+i)6g1Agu=`W^ti zo0{7ZQ7H(xw&T^qF9vSPyKlJ8qw&kmDWC4gBoe>-=v*iuzw~RlX6ZRisgAG5{zm&W z+=GdSOpXLl(Zdn{^(*@?-_#Hodu;8zk{ZyI0!pY5!&j=SUUY*s)n zLiC*cgL&SB=>sD8>ck^!pLi!HotSN)pUh(Im%YsBI!6sndRWEz_5XX)7^j$6G4kK`2v)z<14f4u#mHp$E6`*OY&u)^KS+59f_v#H#>8AXk93j+A6@lZ++ zKT%bxJ{h zi!|hz{4MZ^w5U$Rxt?D)iw>9~bt;aVROs1*9=6!b;>0Am`tJ zo)0fwvUNNlOjV^C-pVZvH~9=$mZWYTQ$O2#UebIwmRs{mNmFr`j3zRfVnzW zs>!8#_^ieCZPi;^+nV(QE|p2%yojz&(^M5cj;-^79FwQHA4~RbCg1wa31p&{eU+It zPKBQSu+pFya+8mkc(+D|6kfgrW7gjTpX@q_Yn+{YR7|-=CHv!9BtRC7Aqu1a45^di z_$aG%d2iQz)apSM$z`bMpqOc7)1XNpgxyPL^??Xwp18aZUZpR*E9i3eiNoxp#+=aXN>`z9eKt9WXr1C*QFrwqPLR2UD7{SmndaO@7_gH_T$@`NEqA zrcaC^9N~UeIHW`=(BXNV?rNiw_0rV6Vxpe4o@Plco{wjyV|;?!N6v!TuA}kyC-{fF znPUalE}xh2T~DZt7n*sYRCBuO`?TwD>>ER4!1iK&Vo894avF-^sX;ZrNwb(FDqZY* zWzM*J92)Jr1|r z%_S{$%4QPi4ZYj;<#uT)DbP(Ll?WlvGGudlGF_=x;pXv#Cs2czk0n{@AyYOi(Pgwq zD{zgOn|<9;{_}qTX79hluj)1Tnfsi-Nxw@J+3zY-icME5bNv`rd1#aFtZrU;gM37j4?an4g3|LVChInUr*+~+zImL5n^P)Xaf%y1XUf+f z+JGHwnpliS8PD(70}xDC2!J@=vlxUZaO4lqW^Fkyd2Qkf8JS=~l^X)|#HF{hO)JQ? zXZfF*_`+|>ansbcH?8^M%qQokq;Yp7vivMLvIO)GxMiLoT&`=f9+|JM%bo=7%l_6c z9@)-yHKn~ncWer%sx!94XEQT4>c&_dqCYuUXD(B;g(o7u(&r~q`o^OeukPHWn>j8i z6K63xf1M}$wDx(Zf8H_51UpykQ0&&_$0Flf(7G+nX?AG@HM(`qsBrR)4zxp3p{nff zc28e?yF&VdDLV6G(frqZG21z>Z7xW(esp16`#i=0q|WKcHC%?E z-{2COkQfeo^sFR4ShmkH%|@b-5~Kk~GvpIxT&Nu=m0X3N%_jWF7zi78AJqE#roVMk zN8`!YA?Q;?dz8zz#C)G}$sYns+20dzY{TPSo0-;~n%%-h^CpJrdTc1wb)B^wa477{ zHl%)+n>rn@LPAzPevn~G(0HilO3_>Wj)Ivk^A^QPgHH^#4PX7<@1N=nv%a_O2cFI8 zmH-Bcm?51b`au9@&ad}-9ACy-P zxEO8i@Hc%(`#S31vV0zZh&Cx$>k6h**|kZI3Elnxm6a0sKmqi65MDmBYQ}2GPl8;- zg2;;TE}ARN`tZ&YhykvVceekqM)1eaP8=6-#nK#OZ%=ZMN7HUfmF2tJP(_x1N4{62 ziDmOQ6at}3g^+}ql?ZTIq_1EW6Y!4j*Vxmq*8C;7zgOXVgFhM*bT-J*jLmW<`I2Dx!*mrkdqZJ30)AWP{M;{sGIHq2PY#Se~?zN|TN0o1Z$PcwQC@ z73yVSf`vr*iEi8kZv39M)2$IX@i3E=AsG}Hd||~Wb3+~%Qi>5#woD$Ry%Ibh85;Dj zvT8F+*Ky9RtlY>2mNzB}yk(qbrNJOTA`YNm0K2Apd{~2duG|~%J~6(AK4iErAj-tJ z>$FD2*{;UYQSxK2OQ@ljeUTnUf^1@VNvXSY4iK*u>RKR$t9E z<*~JdvJ(&8K`7IiL@&5^v9tS7@kfPPf+o3`A1!zFr~RTr;>sjf_C#)2*F70g-4NMk zc_y26;5V5n*qD>wDo56(&N~xj1fkn2YI6NtS@{q10-v$xu2TP%YyFdmrO|_k@9U8^bzTfW z%8JuIL>|N_k%{K@#pvM>7Xh7tx+bqEyYTd{^`XZKKL>-#ocJrUm?9AEguMX4BEs!z znHk)ST$;ETx3%er>)cp;WFw)7f&8!Vemn)oZ~T&F567<;0bxjI?(pHK?#O?R^ZzmS z&M}uRui|?cb4v$W0kk*+@dqeR8=Qv7pjvGD0g%OamqA`l>sb#*HUyj)bKt%{`RUi~ z9-u!2o;`mEFfxA#=G`4JlNSSv__70mUxdrslyG_c>mSzk0-nJao@m|ynEr5(8>~ri z11v~Dz31<|n9b?W5j=f+Yh+g|L^G4S+uFn&C=2cdp7li=r=@E7b2WG_lrA%5`i4ua z>bh+buqZ<6^p(*~uxT%mfYN@;mgazO#-Hb_yy#=C(pg%}en4}yAif3n-v@v$@wWEn zP*FHc%5lMXH}p|cH7WzJU^+1xJ;n9bc6tEv7~SchW5E6r;!DWyoXP~L6b&lFte<7>t~-8c+oe4AY!7CJlX^1csKT>Kna*Np z$R0-e$O&&3rsO_1ZJURgnm<0NZ+ca zFyaz>j;tD{Rh$*CXsSN^k|YaGR@qr6c(m@Jx-h3VT!h_7I3FF(z&jzJLx@$nt!#~S z9$`0rUrV_`+GXufHwx5Ykly2Qr7q`(8`zJbZuLIs)OAY`*tEaOzCzIlKWW~!l6luJ zGwj!^N;_CPPu1zH{klQ)OJhrn+UUah?BUl@;MBt9M$(*TM{`P~ zr>{vWnw{EqNuqq&-(Sn?Tx!}@kK8Ry2m}VgPTa8#kXOkSdev0ds}emG0*bLiL%4rv za>5SGF#4M0DfH(i(LM8>u&Y=k6#-h6dJH z%NfGfCIbf@&NmrW8GdmyP4bsS1*ad}R~;6#66q*o55;Fd+CV>~14J$E4u+TtcsA^G z+dBZ+f%*`Tfw~|UtG?|IhB(~g>)CGX01EBNFWlBIA6?vpWmOq-OyMPz<+#uamaiMn zE5ni_A14ro@7{aYW!Q3eC|BF|=!1Miuu(p(bL_n=M#d>Bx__0zVje$^OJUX(`lB@g zCeo>wqbGU7>5R>56jp(2=jo~Be0;JqAD-djmnwXiV7oSLh@$`zw#Zecl0n!^yV)i! z0^e}Ew*-I5idf7}OQG6ql9_4vvGW+nSZC#P18j?ceq&c*pQ7zwN7Y0>^3T>9k@9w! z)5*-i5>wYc$JzA#J>Iy`3>pqYIV@M}|nu3kzoap8eAz%7H(2RN!0AD=Qr<9E1^8ZbTU zEUSN#x7_f~clXJorukMJ&{wnsAK_+p-y~1A=hXhVyy8Cr=c}ALTw{K8uNUu=8M%-j zFDB{hVaeZKy!D8Vdcd`PN5bIcOgdMrVelJ{f(VK5y#2)ET7FLt6Wf_t4QtT1aZaFb zu|g(?77)@VL_`#b%2eSa!zUQ;Grnlyf0rq?!n4w{fR8o-6UmoKHz{1i4iS|g4*0mZ zU;%qSVz_*E-(;EnS|8P6BCpS91VKeR?!94#kkQd2;KrZuoFdt2@x}5NQ8{HsF{TP& zP}bB$O@Pz+zICf_0d5HYw|qFB0Q3XCs)*%*KoqBf>-P9yV?1X5a{q>AwQKSQ%)f80 zMc2FUW|LFY_)rxhccr6KCFj?MU+I&Dea@RmU6NjHaktjY4mS64R^o^sT#=2ckjVD; z%4q9%78EAFb?THqnDPZSIYdIMnWVczjV;HXhq^({g^e3fxfzrt%935>cquc|iE!-x#9AEKqwqjL4zK0}>E>AIxkeksdJXNa5OK*56#t+N3 zweajjf}dhuO!l?FJ+Rmz6*raJ%~fC1Idv}iJ(OP^wz6hm*YTMT3TyIiLpEG!?1|(X zFkPY_kL84R3(4l1VY*XJ*haybr=cdJW58y*cb-QJnlWkV8A$JAb!^2104cH2ZMZ&A zUc^SoA99?*5bjj=1-NkET3Sw~Q|Pht3HHIHf7Q$Uqvc}rDUPKRYmwY0eO6ng>y z0B+4lWE4!kuQadZp>=2xeMS{1#49^-Q!R*up#XXptJ>z zeqGdi*GU&MmPQOtRqD2p{e7j6uDw&=^7+bAW1h|st6xkq%S5<))262DWE&B^fpG&IrZx^TDVw)_v@>1-7T;~zgGCf5E+ zLDrsnimS(RrJZoD4Fby2-IXGeBnDCml`xTz(=~m%;#37W0_rx_Evn(hu!&{% z&BtbUOZKzxdPIpy7S#Y)fJR0}zgMZk!lVK#-^(CoMW=DI#`-o@L$ld;*xaZz5r|T2 z@E}F{5HsfvXVdY20!~BvOtt{OU-M%B)Trm)vs?`jt6!Wn7W4j8=6Ln^8{p@8gX2C0 zwI6X4jn@4K%My7_%Q%;X-lm!;_MwI=O~PS=UQ4JiJ)D|Og^z?rl_2qXHQV3Whv`qF zP2nX4-$I=HkCX3`oY{aZoUZrb-oe^Bvlc-wKPR7Hca!gG*ma$O!+Ka*edg_=}6|0ss58@16sf=0e>rEf9)4J0gC^2 zcx6CKeD%Z!_sWht%}JjJYuz9oh*gqeu{Wa*LSTuHQ7&?vi}d+Pya@h;>up`L$NV%i z&oZftZSy8NXRM4n9ATjMx^rp5?9BI5Glt(vI8(|DuW;{^zzuo`E;*zZ5xytKm0u0^ z0X>M;7#2I}C7238M6LS=cZ8JhQTLKhg%`K^LSI$8B%gld(M%zwX!E$RD&(qQ6mS;YOEpnZ4sqAy#YgF9I`H?aqEJ7KpEb!& z>Sgv@R97rei;1BlFDo>@5?)QDVU|Z4pJW9>EJsO(;v~v*2%Pr8y|UL>3^yGiaD)cV z5dDvXj{4U@C;zX5Zaw;c9rVhw=A|#LJf7Rb#`h|B6X%$dEMoO}+%=voK})@SSU{t% z`mPoP_p1`DSNOk}{&4+AnS}kv58hU#7N@)A^bEYWZ#SBE$kr7tt(Q0U{wFoNQ4FaldM*t*-n-@I#4l)|j^t z(Z4hocA+uhDMdXn zc4r|T%&g&7)y+^U5=#oS1na-#cXx4v?gi_ui$1=;x6*oBHcCdQdPWwT3N`$ps*Np@ zm%}XWK0rWQ+}^irvBkB{B1T_ijh4ed40|J}W`=#iYORb;VZon(uY67_V#gays(aBp z0e=V*En`)6jDR}yZ&FpccvPE_rx-}t56{9J-(;f=v)s|QptDF&&5EWFqcno z2w2TfWduZ=#xmII=_kNnO%8xRoGPA zTn7@dl3e^)^bx^ULe_UFs{G>oJk!iKMbYLT| zP4xPs6Pzk7{buYs@ONmYhL%C%g^p>`XV0$QVC#w*)6uZ|kks^bHHIy?WC=Qhru-%s z4|lG4EO)Bb%?l5|1v~Rc3C>DJ6eO{RrmOjY9bXhgAPBMNE9F_R{e}$}c*n0+{z^NavB}oTCcJyW|U0kfwg~a4>@(_65gF*6FYw)Ac~h zcwQ210gFY2(1!=C4yYF$GG-0fbW$&o!2*@uZ;{t8`1tf?%%=TdzV6P=#)nw%hnGSV zVqOhZ0gMQe<6nWu)6y?X<_FIcEvLjiIkH&}aQAA|;&C7&Qh?krvtaEe3<_H!gNS>gg*zbv4FRfXE`*iXW zBG!r>WQZb;xOu_8?Og4@`=Q129o9c}m*dI{A59X$9kqr)5EUv{y@rCyA=^Z}z>Tk7?ZlG8e+Yz$GRvGXD^zWi)d%p} zLr!%NK?uAwHSKC5W064``oi}ybWma$>VR=@vod@AtE7UzRK0j!aLs1-MaAsN?gOY9 z${hNKK+1=H?6RLoQmI6Q<0{$T>o}>KYPaX;+3c96w;MLHN>r8HsIn|NiPq$85&U`yRynb4A1@Zv{z-qgPW9lxwm zGqamHijf0me|iNg)U2RlveTU6yvlT{69v3T^S~Tbag*~e%Zj9&|8MqmjnBNnS%yY> z8&@EM0ToXPub_plZoC!vmzHi)z92#0ELxs?9tNR9U`&hK1wH(_qdsR)*nTbzu-n)g} z(66j9-j6^;=JV2&o1|q3G$Es8RnEvswhfSnwNJDoRu}M#S4d}NJ>o$3ZjzDpo95*T z9WeOkk#RVAbRq5<6fGE-sJ-T8r(dl@oXDA0&~=VJmt&XX<6Ju+WL*}snAF;=F<6&| zQB=u_-j5(Bu}?vl2AnK6E#oMSroI z`d1{%xBc4}PZZtRh6q@dYonAT=utmIN{+`U$?{#T!#{HMDLIA5*o#*Nx~JlHTBA(!LG50r0qMw!es7 zM-Wg2MXs&cmY%R4-+2m(xbTF<`PXU{`)Eg42s^v3HW=uWneNG*abG&BgdDrHA) zH>SPjt580>3883sy*{ z*4LiMeAa9*i@HNk4jkV6%I}mrS7b}4-f4Hp1~(duUYk%|EaIhr?9^}z^q=AsJ6|~x zrwMW-8M@4QO)Q=b^95uWbbwp}*hIYNrV`vTP+rswV&DVr>ZaNg0POWR!BzY#GCtGs zP_lNrpyJNzjSsKl$?NRS+3xmiDj8&U>iJG~3=OSuE(^*h{T&k03G^0Y#UUO%Nj)Ja z`GBgve~+@QPQ2&*zBUe;H%c$~*|WToM>UO#eBT26|G35;E~Hl?u8wxczT)T5;1-b@ z*vV-9y*x-$3GKC&p?3O?D)4Nu>17$JXO2RMPt>+{XZpI9|O9qeTrs1aVj` zssmwi_%AmVapJQu?eVUy32k7G)v|U=%n@t8OiX;8_8DI(aL`tJh0DaHb#9lbB|U8) zh;&OE&Xz9J19$`hU#gjm|WSdCuU}klihJ!-adHW=vg%66ZJIU zv1D~06m+1#!hfOG7}4~HAZw%NC-Ei)rdhBiq*Kp`KJ`K6+CkkF>!Q2j_Cng%R`kms zg#O8_s}P+&!rpyGmvXRT6o*UrkR_}7v}ts}fQO9!fM&-}g=s*(#n96I%KLkJ?BE-DF?G_0NtEhMr$xbIu za;{xv?(l`dP8_oCb^CMQD^;U_L{1qV+n);Y{EaKUoCl#Jd<25ZFix0-`Jiu^bdZhd zfRmM<%?&-oHy#y-5xOrHu(E6x2quhR799zd+UjpJ=8UiIejJqV7k93SLEwW*m6H`A zh|9yFFI@^cJ5pQX%ju*i^EkR~+a#^k6p}iV(a!@;c{x<5MZW{p--E9ft;)rHpXQWF zd{L`k9}`{-e*6WNscaF;qP}7)$mUNi-7QE#=qeybw(i1b*goGPDgJo(9e8G@(WtG& zy7c#b9>Kw~sc6G_Z$bW&YJ2vpVL|Zu-|uvl|Nr>@f9&`Uq6$VDa{7gC%ke_DDVwGp zg{@M0SkwM39;_oP8P?a{y^^~wBLCFi*Z4Fos3<}(mZI%Sv=+}>rm+8D zFo30&ro9$kP8-Ghhn^Ke(1ajLKKOfgwafyFWO?Au|4iv1^Sk3sM;kQKSDp%%I~K~v z5?nwd7`0?1uL=wES4fq}IcUawrlP(|3BSpT6r(uujBoTcqP3(H013Z{D9{f(!^Ae} zS$H+x)|lfGE-wD>#PB3moQP3ZMJV45)~AAHSV?#!x9oZ#O>_`@*r(>xKLi;{e+bM9 z5&TFUngV(7!0~Z}+|+O&vC;Ya7g{UU)x9_5 zEqw=JkKe_Pm6L}$49;4ev8*G69F;CZk8VjYQB<@FRiQ6211*Ub{;pI7QKhavWGf&m z5I9D=&S0=us^lEKg+t*CMR~Qt@zZ@}-rLXibv4@ejbT0`Yxp3b9R{gvkNa8lR-}FS z|7$hxf73%B>nu|T76}Z^nLiJn6;vhCUmg7+c;2FvJLf&~VSKnjqs3TYb`ZZsWKw`C zMq_hFd0OxPP-p7Cc>9MSup|EufiGU;ziyWHGOwHAPF|j=PZMB>E_$l8T0;N;9Krja z%TKAsyK(hw8Bc9396a_J1u$~Gfs>^BMqjI5g6m!;`PDtqtogz~FPQo!QQvvUq&Bid zl+NHhWLtO1?X5xMDTNGU2=hx*;RlAZJ?vFrPM-mgpkRKp#peDVvHIQBfF-B?e~e0> zocwzxppO4|xKKvStlCf!93nFOs#!WcN|tR>GW-;u1DET`_E)Z1v&AoW{IDf1iZIwA zJ`-{E?$yD4XgoUWPUegC#tR8JP`)=d7E~CLGFYk3A{9F4=l{2|S)fHaV|h(y3mLVc zE(~UB;ZO(MGVde$FOdKIJzLw*T@%VQ`l5(|oTdOZ@W^<6!>j~+2v}VAUQZ0}{z_Xm zQ`6NNu$vCmZ{R3?U;WX4IHiORXHW=mSJBs!b+9l$%5`1Vw7pTYqVuGEXY5`Dw#5wX zyS>tDH={lR&&te%3{p``iAI)pckp$+NGcEP8f>Sv{@$zweq#h&C0I8xF`&tLJ|;U9 zG~2x!FZTS#xr^7pYkzwe_0(9e64}Kg$qb%FLB2QN)P6k*d-gUpW6upy6`Q=ARMSsQ zZYUH0W{9eJgYi*_zS(K)W&<(&sY^X^? z7y$gGDyQ@O&z{-s>Qs_FZgIO=Fv@?wELVNl`*lQW*=V>SKhcA z%nhkj>wsT4Z*#$subzo{%!0Cl1%)LXZ_i0Wkx6qkRup1gu*h zoV{4jjbM_^u-?_E3R?|EbjJ07SY1#7SG^%Vz7P0!v>N{%V^vsb5Gvfug=)+!sX*k- zdi{yC*-Dz4msPb@K_KF{c^aWROpwA`CeN985ASN1Klt}NblVA;x`~-uzRN(m8!c>Q zeBw?#-0LNbwYIg~hEMJcg1EP2oI|b8#Sq6DLUcT;=J6rr^`q6mjBmzm!z&}qr=dN3 z_=Q}IiAOW`*XqvOSyF#1wa4{m+M64*?5HTND>-!$olOmy_q~HEoCK7P7dW;J|6Xvb z;ux&!6x9V%-`@mmAF1KzbTfi2Mpz*w%^@kC|v`s(n zw9cuCgj41&4v2lES+$w$YnG5qzdBI(sRv906e)CpO0g^YnO(pG|6u*TaAaMB4 zI^g$hs3cw2xMW5eE$(ykeE5nmDbzMmYO5>#(>& zAgom+ef^iTmcecM9Qsd&pZB(BFWOqzFldj|dni%7Zr$C+P=T=nsCmPPC+guAr+Oqi zLzJ`$ML0KYPT~)n4~O5w58!t~IOlMrD{` z2V)RhR7JkVC=sy{9}pAR z`Xsi>@AoNT@zNyhNR>sZe`i~!ZUGwIjezP_L%jnF-ObZTVWltYbv!v;$#zT#*LgWvysa|n*h2LJRaJvLE0D9jMJ1x+qQM4?s)bqq^J#!?J06t`6om(w;&(;eLMlsZ+gmGOKk34+GrEtoQ2tiqM&mA zzrNOP6|RryjOhRz$;jSisu_Q=Nn7sHn(nk+v$$spEC%gOf;sBBaGH| zb(9{z7gJd%z0gfcZ5SG7Tq-B~tq|V~Gy=)jWqE}`bubcX`)i|P&;R|)?f3h#840KWBarqO(wS$(!A=C8M^#PnfYX2ZWhMIKhx9lAjTP`^@;JL zuf@f`f69Y28%{}gealVF0l#~}Y6fXHjVpnpaIA@14tGdognxqx&~MA_DLnZYOe3`gD>3cUh*^?kG&g}{x;RI=oV^9(}{Ej zsm-n-oq61Ut3WV>MnfPD{M+%Z+cAdFmR1nTF|R~9*iHF!|Ml_pC(#y_-Z>W=pry7r>vg(` zeV(xBQOG!vVB%B}#gNQRrKzd0Pd*gVnD{)G{@=d5{?%{EZNI$*56A9)$L^^7${>ny zDg;`sZORJ15*iF1l$y_p4bF1uLAx{R^(Hyp;pmiJWE?pNWaATzCZ8bxF64UZza6@W zOWJ};4d0o`RBk;P=*EeL)ze7E^mXb>Z*jsx^cjdgfqkimC$tLvD__JN8-^-J5gpVB zXco^ppA=}TXeS}=XO2bTVOc=+5*gv2@%Gw

jb_ryn|-0a5GpyQuC3vqTGCfTxxWb8(H{Yqi9`q z)oLL^vD{{Kc8cHU$~SG@s{ydCma0>R7)xX#r?Jq1d~<7bD%LNt!h;8}v({KP_doX7 ztjJ3BAD!UdN0}0dm9}8|Gvj~U{Pq?mZGFq&c5!4!87PN)-)z=(}`=jst>dhw5IXJ9%Y}(*}-h!%w`%yDIb6O3G1fu z#SJH`-F;QbAoAG$Z`S_c-(a;~{yzle_;_YtA}!B8ppDmG7)-5)>$2WNNkyp zuyyQCug@zv3kLbMc(}fSvU~mH+TxA+P`_wivwy{3i5c69I2QfUER<`;AXE|i#f|8_xKHCrg0Y?qkqkK3*o9@J*POKzo zcH!mLuL*fO=xmbEB)f!wH`T z`v9&6ZbBk5Z&2@&8SPGCoMrA@?k_O^aqhB7=`-}#=!k8H8NB zrDDKp2VpIKdF3%fEkmW^lC{0CP4mh)fL=3+gPnlMR*~9_)V(S#4OLo)6U_Vxoeh5m z=NTc2<$i3kW;d5}8g2UFZhLP51Z$y@CQj3n zkOl#rTN~KYJ|e#oKq1k7vky>qMQHurMQI_oH_4>4x|a6_VN(=*ttzA{snm;92zfbH zrF&iJf-{vYB1sk*!-#1L9d8yjx;*tJZhjJx+Qn4r!)low(#MJb292A^;Of?Z@v<*a zV3S*<*c1fO2q+)sVSBVX{ z7JW;e3QgakD;2+g1{3mXj+8Di(K30JEUa{yYA{%OW!nw^i9Fe@l(MJs%r$nt&jQYh zdfCY%=k5QibF<5y?o-Z{SCh4d7P#Yqt4&|2X4P=D1SPC=J%+z23(R<7!YaJ^IxmGo z=DEk0W)sn+;wDWu6~C<++MY@JUp0Y)RN6roTN~R->v3kE3u>g?S*3p2e#6{=KEEqZ zMH~k-G-q-&L8dg+`iL*b8Y75he zu-%%lkQnAV*y13>x20i=f{LP$)j$m$kAsi(hdIp0(E9#H$!CB3{v7 zUb>OTNAaD1)10ZwrRbww>W5oZkIV8VR=jwN+C4X#^1SYfP&_MCPVZ-@lz@OuAO&jS zy=3biZb<^j4zpfpShvZyJFU(IytlU9TrE{-*#$TdC5JqMMgH2yF(3XAfS&&$F!;df zv%a#wv8sr_uI-&4syI}$lN zH4ikz@$#31eRGnATD_d%CwkaSm!}!QG$km8_v*Ch3Ls>yhS!PeeDV`hwf9jiRmz(( z%}=BS9x!6-aJ1jnN8E&b9K}V>4}8_?Zrr~wQOzRtew`EA^LG(Jj9}43aUv*&x?%2~J;LdQP<{xfm=k<`1#* z+z|4vIson*QH5<@`O~8ARpg&0;MZcD0=TX<-Km%|4)2HELs zxv4QZ{tHX<+|UeKg3Wat)s51}NvM)PxMl5KPDjx*xwke+mf{;Mtg|zH9yntf(#ErC zf_TqyTu8f4Zt1Ttpma}kpV?ehP<~e0gQMH2;U%BCD@FC)x?BZRbuLU)6BTvW6Hb16 z&`n=7{2;J|zS_#jKO2al)CZlkvk3PuqJIXtv&uRvLszyNm2 zUq@K=<7$JX;~8&Ie97P~Z?PtZ+oe2Vifg|f9OgP{to(+(@87@WqtPE8WzHfB*L_|z z>=UOaJGP4~g|)&n_)}6g7Vmwa`qf4f5X8SxPF2%pW1w|b_gTDYd;Pt(WraB&?c`O3}T z#79Wk6FvbK?h|eH3xCbsA=r;kTDzi0p%s~qyqQx{j?$7MPnvD_KR|LE+}vKCnQ2j< z$fwMG!j*xn$1gbbLNZbBs{PfhzCemQ7sNtYp{gh4$?|}m^{ux5?2E_b*M@d3(lIaP zOYe3mh&GUll}3W-zM73^Fv*Th-tU`@?!Mk248J<&s>c2O&M^uWFN@fk_L1;mVuOaF z^bP+PYj5Ee^}D?b4<(^=NJ-8R11O*%Al)-GLx)Ji5E24{5+W@*baxD$Ln%tbP|}U0 zG)g1oJKw#}_1out&;D-rdtK)bmCfDCsxs8&+P+c1~XOAWNBX<_$pNn_);M34u zFJ0M+GAwx3l_Mx$ub_;8k4)^`oDu(EEgM8?^-9*Lp2#}$Q0!hT4NT-L&dX!r{_I|Wy@XVr$*5r-q#(?LY1Xi78IgtuByDb z8$5u-WU=;}0^FD=7417Ub1AtIhq?ykA#+9O%#)gzW`a0qjVF!ViBIyRSh#Sa1g4r> zY(#E5i(5U{#=&GR&iZ?>4vT<=Z&O7T_W|S zN8|G!W1U>;z;0aNAfIbThB6P1RBMbllf;0w->0)<7iCM&xAUlzz1qINbN3T|jZTT6 zGy5BHcxjtS?7vGZbK)}<_FB^JmZ^1hcNsW2omGg+Wa-dpXTgHlp7LW789%>1jBQVr z7EV~;M?)Hy%JJ$~8e?eiuC1{OIeZ0@4h)nNNP~S~d7H(JyG}!4KpwWWR1ym4q_jKZp#t9}6g=w9@Vn#ZUaaRxxKuFK zU0Z3RU7*#g_BAoub$kuI2#cVT3zL>@e!KqSdQIy99)JA;85NFC+;bZ8@c#gi24ATo z@|z0H=`o9V!Lf>4Dh$eggdHF<^~vlG$hLICmD{q1y1&9h=a?)G?GRyZP0DS6Ti!fH zy3Yc93uo}{r??TU@>F4BWFf$J`f(G`fwMzo_EsfDE-uFLS>HI4;4<@ z6ZNMDGmiE#Z}uUVbFPgaxX3fKl&nA|eVBzJI}N*}p|^-1x7i`$YyF*T16|%yw{1p2 z^?DA(yZ1UNr)hK+|5{S@kH3joT$@W|gp_!EJU5|(PP7?IsgNz5dHCe3h)H5|XR0V# zEB=V^idc?ihmxPh?5|#(R^f_#oEXeCSIJX8S%c~cnrN!-0^s>7q@Gln#@OGj^0A7K z58Q-Tcr3!i;OOZ(0ef}cH_6`D!>NgEd~O9ZUs4VETpjb2!?~H8Q;CzNvQHs^D50tN zozAUzx%%fOO1b^6sbG1p1z2k2h+uT@()oe zb)JMBM|QB7>^G7ERQylfxB&mQ?1OX8Pb~)znyj17RFZZI>SxiKD~9WFvs;WeBbVD1 zoHunizX6)xegn*rF)*ng_uYR34z#7NBgP&bPj>_!kE1K#vgo9-ilPT~73suCoOqtt zj(HMNG&Er3Y$B%kv&!wW`EMmZFEy$x2S&~gzdz1iGkU&>*o(?+rSvruWSgyy46cOj zx+o`axHPSqn{r;~2PT{bJP~x3Y%FID|DgAbH(G(O0N&$hr8flsv=&k*(lLgq%8tq- znfG2jRk7R9-e;&tE1h5hCneDsLawRTn2)FSTdWcXztyZy_rse@r*%LTr{HHpi0RS7 zdS>|RwY;oh_0|$Z!n~#;^^vZ6DUc7Qec5FtPfo+^q!wci8iQ#4h^222&*i9@tLckO z+wgjtC`^oyGk7Vcs%QYf;Su4t_>(Wm=s;yO%XMZYL zt3RsBw!_`+(i?UgI9ZoPl#%#J6%li_4%{-)4*N$sxYx$ffTl~Tx&t=?<0R=a>Dkft z&JDrd0Y(yEyqh+edp^2$7rSe?e>qMMa^j#mX0?(m7W@_`{@KO7eN;+HERY-1Br8Bc zY>IJ)%tO`6v+sDn7p5v1mmw5bvR8KJTy|xb*e!#90DFyfe|p>Zh+7=7P^2>!b{&vI zBGlCB+x+ZG!t=Z-%roC+0JMpqMOEL3_PO`ouKS4HJF0A0)PUo+L*yxz)g>)iECe=rQ?$j<7J zaJfJM`epJ^WJVt|cgwY0DDi?=?T^8teEtU04V&DMaULZnc#Wzs@zbMNQK{Gvc3#8xrvD9yOS{P_!-7#AjI z(cZ)Iu&f}Ygni>wqmc@+y zp*N?8zX4qpg-M)kjfranj*^usKi;|H#som<<=p!{6^9qY+p1E@9cL6}r@2p?fGS5# zCa%?$@wZy-P@gaKRiO_W%drJ*Ce~kfN3tTqqwpfD#HTK#@qv69#Z zHI-fRI5K$y-v(icpkxS0sZH8}gCi)d7v9Cr(QG=jq4r+7u)aE+&4g&SHm{e?`#Y`C z*XeHyIs8&>ACbj%O~zp*mFc2Roax~a9hqDj>xcL_#*zbwD%c#8(B`YZH%#PW94}FN) zmmL~{i3#)zdw;-N6{D;D!uY~Z@$V}PkYMpXLsH~m1r*_MS!he23{dUQJ@}qGC-F_V;XWukw`m-h??ai~`CXAF> zUDB9$Q%X7aSkSEonTt@jlWB0qxBQCtV5_!~z-M4r-?vxNoJJ@1dM)F%nUB^U9jq1`;JB4Z>(`|IgfXYV``U7g zZZ~R#F=mnG`ftD#qm5L?1x4O6$bhk0M^Yfa1{;$}5G+{~kS3<7hJq>P<@-jIjKfq) zR7$wOvLUh|elk}No=#$ZsZ^-aT#ziP-pqPw;NUv_II+AD0lD7PA=Whgm9=Z}g7M2< zl=9!CJrjOy;eQM-@{S!AW#K<-Ei5KOb~l~qPp(g^g!JND{(rp!*xjZmJ97*#{3yD6 zc2_>kM#t_4cd_Y*#3L;GbKGOhD?m?*PmfO7PCN*#7FQBxQ`ngp`uZ(z)-RWpjB_Np z#iaY?_qux;54Ql4dKe=2m$CO){e#q(Ei=lEsH^S?Ri z?uIan`VvecEL8AUHmo4k3{+ERdI$lsO!eqvgb9Qh_d8f zB;(EDRGz1r5Oss!%7U=#u0>DSE^iHy+)+Fo-mocBEx1K`O7p54CcfGqkdp;Xs*w1tE- ze)No=IzEH$Jz|k|QyOpJEeM!P?h-Rvf82L(Ic9x3aa|Gl)K@+fbz{ULo={P=I^=y( z8_Jf=W^zP*;RYn zG%J*IJijt8O6%0z)$zM+x&-Xjy8|hJ z-+*O8sYv_AGKIzpF3^*?In`3TDw;{w=|Dl!2CyqsQ@IB)T!U$#j#%hrpE)zh7WO4` zsHi?=lN)Y)z$C2Qka%Yt@w$Nd9YP&{Ayd?OXSAhove8;ds7ySO{871J{x7a!sf`LL zPp5qp(0RvaN2eoR39mVFNWNIF_>CPm4|D?dEp{!8wkP7I6*W^I+6WvA*Um1~{g9*% z@!%n$MSHW>gJc*L0eciK9;(`$Rqo5kd$Jtn+6%lLc$0;1A>=HEY9DB%I|r*TzlJLH zHnS}M2KXv%O;v5*GxSIpdzn{6=O#auLxoNnbZPn$+y`hWcptZ>VYsbiWSgcy9b0?x zA0X6+Fbn2J@_+Lb4@sbzui(wK)4X7bZl4;EGsAb1`S!+d9i;oxNFksx#u_!n%=c01 z>rb;ILCMRwln)Oo9*z>XtgArxGX~735`$UIPk;$=H&@pWta{pac6EpN#Pz^G#kZ=> z*sinG;YYT~yyw}&uL_zU4JPcR#)w^q>gcUimLnasegU)kZ#}$D3A+uQd<(rLxb9QV z#il+4Vd0&iHmO8%0O&BF+tuOZT^&B`m9e9Z{UZ+$;rZOU&d(Yqi5eCR!Sy6;tO>P!%+Ykc z*Yyc^%b*tsHtE`Q8s2xIsphA-Br!2=GVQmQF{63>8avLs+f%DMyf$Bqk;@GVzG~Dl z?W>B4DM$q>0RSy*-d94OmdqBqfNpQ z`d3-8s~JY0C*|XdTK-uC|4%inm>h(;#zQgeF^?$2%^252M0;XKO9}Bh$W|ia7pAf+ zoq3*_k-p!xYG&^qNG5@Udt4qzUN74xKpu4>CQ@R=y8das!hc%t_EmJ0)m_#=x}{9E zw_O6aPt^fky>7bj6!9#VtKc}u zd`uwHeb^7cEajwVH%iMHGVJYUG`Owcj-{IDt()8<(=qJZ$-;EIW|B@=YQqCgWoaNw zRFd&9!AwUa+`Dp9^cgp$XNynvcNgpqKiV&6&gv1@HbpduEInh?QCF0T5|;lJW&tBe zX5~e*^f`*iK4)2Xr#pXf{_UIEE4v6;g~R5MXdY7vB;1*QE19!OLD>c55e0CT`-zPc)3b9EJk@JB1S-6<72`F;Ar&0hm3?sa z20=o4K5qTD-#>kX-65#O;f z;<;syBHssRT!lzW-1MYwjnYZktPX{wIDMe2Ky{eNLF9I@>Z{I!0(^+B-W{J$3-Fbj z*N6Se0EHt^YZ{ilek1seB6oOAq5)mL`mm(IMSqR5h(l+?%^;rW$Q ze6rXqR#wA4ED6iQsrVbH7Z?0;Ry6cC;H(#2btJLyDf7y=E355hyC{l0&@wkjwjzaY{47q6#uv;f z3v%u@)fp=^%nZS--%%B`<=tgje;RrFZcXM(CVf)g99|21E^}hH?)TkPw2$j>pfR3QQF%lvy|Ui!&TW#g6g>5vsSMVzJ4D! zXuJ6So4>CRhFwp1Uk~9xR~c_DG8+! z@_m@H23c(RIv}g&VpsKvU1R~vqS16$91UK^R*ls?@qtGb1YY|G$|6$V)Di`uxsBx7 zOacssuJ;nN2n_+$xYyXy7zPxMvfU_Ey8ijSIq*=r!=1eZkyLv`^)_vf=%y5aG#eEw z>^^{)vW4=b5LuO>%i9D=XxtCz0O#lQw)yf_TQh1f0YjaBypo_&vAdQSPb?UYS9DJp3c>L)bQrNSLy!#dMbN9ESHk3xu4@Cd zy#^N(Oi?22|9qSL$nD00c2?ykRYZ)ghZ-8ne)VUG#(1%XwMguV z0;{!$z1=vm;jCK9gll(#vaj=<-W68)wJWujAq>|NYlu5c(oB5AE)0R+8tKFynsYtL!1OWOnF2?0&uZe5fv4%sW%q5&0NG zB*^5U;?BN^B)6-6$IYW!c|%{YQ}{|mgPhNebFPdfEHVKzdHuGSRH#_wt_l(jHA z5g0@LhnvgLQtyoPaGF(9gO7mZRd(-{+(I8?wyj}e6_rW{ zQl1^Vaz9cE!6%)HVeR4-_0?tRr-^lia>+Btz20h7pR-+9cDoGKod-^-)DY<;ei}%U zl4k?A550%|GyZaf@%^*{ z^F36`oY8OxJ#R3ZnSr&^kyeKP0Op_kXY*iZuUKb*qO_<#7>JECnhA3NTt`D#w&?_! z5?OmU#MVZd@(P<)BNFobAe^Njz&Z zcDhQKD@KSDc^41-fF$h7zMOc)8+*<=QZx)M-*p%p_uw;S{?DSOi@x2p7^6{P#~pO5 znHKM2jQM2-|IvILjfxYp9t7g`L3im%1R_nR8ZZyD~?{i12k)UPsbFtn6tm{$P z%!XGO8qq=8K}x+>tM43%hOHf?QCWdRMv^s4j`O61Dr4*s5oC4SZE8GF-} zPcTW+;xQ)rKr#)aNYc(vansN|*tqpfo^6>hO`^2wRf{=!?` zu~DHr!Jhp4;86p6`vwyb`!f*{LqCoLFz)*1xOnL0V&7uuGz0E4&aLt1Dx+y0U~?c@ zFbKk}|H4y7;_c3xu?r8?u?3TPT4g@vDB{NNU6-hQe9=S^!`r%$FLW0hnFg4x2Klyb zkdOSi9Q^Zp^F`oPaP<5zA93RAwk@IWh^(^ z^-PLxIN>zgO)0*maLi`yWQKAgl&#d#k1U9Zg+Jiyp+|;oGKLsLcc=U0%7|RlTxWoL zwBr4w@z#*idlX#lqcS|0AcUH)j*3-{)tfKm9Zx@QS+cE!*E^p7%Fg8q85ztf6Xcj3 zYablkI_e!>|Jm>XCugg5FMXWcZN{J8n+dO3li;B0nRO|YnFIvWBxq*atv~C>sTTX1 z1hj5tiTYyhKi6OHpm)--igWKJ&urA3sPmxqrF9*5y+}gE_p#R$V@=HmqGrpnfL~K@ znw|7Lyufdw2VS*Q!3$d+c!kk2CQ-!ZzdL?cuwH-nGv57tJ%5d}*7>ZJsc|ObpDVT2 zP7U>>6x(ZErWS48!(3FF-lECF117UphwU__zxyKyV zlvQI6_yZHonhg~wp`rR4LLeE5YoE~-%6FkAPbAo@OH4IVzz&gw=ZkMDMEA7fe0WHdUb@K*clFeUB)QA5f}Ml z=VMkG9J)u3*lnf0fDdYmrwpT2$Bv;uP-po4hztN_{?wkaEj_ARq;K=_uY2*s zRTxM|VLq#9fN30lM_E|mtwp%6tIJ&TECc+s=hETDUe3?xjjV?)U<--bNH7%9CJK7w zsw7`PLB)?nD?04q3a^Nu{o;-&u$$b7YL)&CC?VM|S~mnWFz4E62aHU{yaYY%m1O%E zE!BigqApPR61D$ueu>Pk(xk@Fnp+`%kSdy_#P+%%VZD&0SoCH_q(?F5;ZT%5KQcUn zk(wPN?Vqzx(8{DrKRf*S;iOextg)o$GcD_kA)JVWKU%Gw2+KPaQ4hvxqR-(b zQdkivpx&nk%9mVP{%LNQEV zB-->WuhyT1Y)<@A_sP_wd}Cr=r-ze8HSt_}BEK3^i5vG`+*lB?7lQaeQe(wLH6wd> zvhtn3I_-D17K){)DntqL+U;gi4Zf7F7dWk!0!OuxVQ8Pl3M8%lSSiz7bcec(%O znKC#eAez7{bc6MRlbiW{VbSO4=$ld}zK`9I>v9c6RCvOxHx|43C=x9a{C)xv^n{5EA$%yxe zPnB@@JnEE_pq+6kYnERJQWaYjasu^TydmRb4^d2aOp<4B$iGuS5|S{y7(48R46IN$tGg7v4x-V?|W2=MAQ% zKQHW5Ln8llrrP5~A=>isldkWY)zc?<0T~5;BsmhYF);Hww#BL2wBp(969>Px`=h8M z9kfZi!y1CRU%U;oBCmn7Y`!IrledoVe`MgJ=s)?m*RlPuv6hPgU7$vFfFgyQ>Cg|c zG}2HvMs*n+5j_`vKRBj)D0i8Ws|_T;>Y%+$#NH&W(E?z4EjeHN8uS~myp}QVV)+VM z7-|tF#fM+1si2r<;twh;7kWH`Zk~}8St>MZhxN%a@U8<%CcIw>oU>yXQ50QxvKTf} z1m;&7dl60dz4j&zT3HhZ@xrPe2a;X3$QZdmWF#10L~iZ}+BVBdljz)uGHg7>b*gZQ zu&OwB%&U)+aM=DVaPI2(f{BGpl)}wT@s5z;1TJ0hU;oL!NKT4T5*S)3>V^Lf!*9Tz zkid@Z&FX>w4O;0pAkK*H>HX10O}f}JBcZ3Y4smr>{|J>qFIYVE;1yS3SzD`@Y=QaH()8$`w_R)155gY z(q!j&-G)Vr>Q=!|g;fb`NEPFngu~cW<}tFIgr67e5)K@HL6(c%r@s*?Nt$o0I2Uv7n_j+S(?k#oADl`;qn z+lHt>G)|BmzaW}w3_j>PG?Tz)T)U}PMb6#=#OTvu=CoOwGs% zs#S83W<*Bc@~X@*%&IPEoFC`1SM}xo=?b6JwpkebCnzB}@-#;Ndl3%0t{ zG8C*$4I^cn>>GHKowylbO$1V5@j$r50+=J$(~da#W;IRTWeu0m3;9=43x4AG45Jzp zGAF(;hh><>O(r4-5BsnPJCx)$4>Syf36P1XrzW<@6wmgwVyhzef$y5K^Mu6tv@++6 zWpx+q)$$FM3}O-sD&RX&W;aaKf=`KZW??lF=QFX!KC-`9W0Pnq(|d?&@vSm^L*7hy z6_%A_C{B|CPTGrURs+^SteXT*c4ILSKjts&3NQbI46f)kgU@0NJBy4icAHMPRK; zeRbgPT4tQei(>~Vk5(wT!t{(U%WMTnDsxc zuhJ?icd3JC6ZiSv=2AnA79)!zgZge`gK>*P+v#>Sy8u4D*0?VXg-$$e*Kv{jfmFg;E;%~<`u7x4w)GJDSop~zI(n%<%EKBv!?_`76U z54gGQxo;Cibff)W2&sP13Bzw8SW<&Yf}JoiWFe|mrm>OaAYsQ`faXbGO@8Gom_UiL zFQ)Iv$Gjx1EIqO*rg9=C>;+8kB%-jyC^ENLAQ2_|A6LK5>VG&0(9!R_!S4xJ)0eVB z5t_qrh9DMmLa1krTTV}zX6u~7+*sSX-&f`Fy3?f=aS8^9e9qy;-C+OuDg!MhF_qcK z`u_RbX~!ukwwC*&tlt-$NT@Duv16g}13yN;-iLR$=3WOl6rj6L9LyI5?0$F_cK)O- zvruptoruW`vIL8`PS#sCRh<_Ag54!HC3awLI!>F03s-(XpQ(kCaMm6<%?Mz1$;L;K zwcmgravzfuvZHIFY!AH!l&+O62LGh{vLn40sbMuL9a_s?N+%DVJk z-@rbc(hi$E|G&xY3KR#069fdT-(v=K^D(@MxO}6hg+F&v3!9oz{IN!H^b9YD!cUzP zN!-CMbvL%e0gn#{#6FxV;&LSmjqRqu9}9a7s>ALT6r!f8Wt-mrM8IMtXM1vajVk@n z1R1qOTcgNN@diUvKMTtflw%%Rsy4~AuNDTl-ZbPe)?ymV5Y059%7Zhm17VMa67rqd zc%3y87TLd$V_fFg>uUBxned-4HE|AP-S#?mqxnYvTu58?rdh}^FeWO!$?x%N7#KaHfpCYd8Ur_`WWJCtY z^q$khf#qtK8Jzv=N0$2;n?@2kaMRId$6{qwdum-5@oRFzmU_wB`drqo`aFu*;yXGd zF4?F8(4G`$TA5rmbGYx*L^Vl zg?g5!@QW+v#Yy}lUTlg`Q8%<3Wa}0(QMC4rvWjOZJJt9>wU6DVrEJ>u4Rzi7tv85G9OW%^Nr^$Bhyc^lRz+#X* zN>5_2G+E6oGESF}AH}lEG0^03arX9tv9zqej5fT4(gRA3Se1M zF1k*zh36Hw)Uq^BJ=ADy`XaQrl($!}m+%xFa!C^#e>;dYgb>lP+(Z|&Ug?nSQNM9D z?QhFkXb!U`X?&3K%?L8b^kK8Chm@4 z;cRb>@kp;XL_-jTI3zf?mRz^IHKL$xgJTmCkCkrRWtd=4KIM~FHQG?I0q4|N6+sfl zamh3j-PV38;}*n{U`c1leF0+6Pmz6fP+Oqd#KGG4w74il3&Vz~INH=z1EWe32 zm=TNfmNF)jcwP6Zge?ePxAa4^kPBa)k{s9>EfRTX04!v+e~x)QaC>*0Raf%K80Gg> zZEw2<*z7nzn#3SgJXz|352R=VYmf;XFL~T;;m)3)59!I%{@R!;UZhk1mIos-c$^=u z`yBO%trRNS$Y_U{n+WvV7MUno{+#NQHu^+ZGLVh6p;ICk7^{o$T|85i8h^g;1M{*n za$TXPBu=GRkBc4PzCrgcTw^v0y*r=yhMcTFJ+{B!nA=Lhh%U0G65DpQhVtM+IS-`i zaBzM;iBSD@Avq;OlTI@~R)lfdy7qr{PcR_~Q)7AP?ak31q&0(}bA9;Q3>WpCe+3?R8;k*jr0b&Emka;IoZdth? z0ALI2VgmSte;uX8H(B>U1*=yx8ZPTTOW4(0r@uGGq303WwXLP~Q zD6DN`y!Ck$$*$p=*WIR-u5Bzqrlj=E5R{uYtVz` z_3MmHP8H{&xLevvip|;D9}_K7>MDeHFPkp<{l!0p@3Y__)Rhc4p$mI9Wn3noo)WjJ z9@`0xfO6N9c0RjXGkzZ6>S(4==NHJ5g9zyp(-r(foqpNV^_4iK_)B+D>)&Q+ zRu#K8ka`<=TOpz6)VAUQJ^XhN$fdJ}d*gLR?7cP(lWh#L8gx8n&_~$5zclI|kVyFU z3zB<278Ks`B64P+vWw1VUF|!n1HNLv`1K|sPg}MY3&L4WUMW1>tGHb3JSbp1;GDs(RF^4Xnt3HURjw8Ku3Zgv@k-9%6{ z!fj5Jn%ROxnK>SzVW6jYl-5}F`~$_k`v(MfSo>!>O^rv!7nhbv|Q-xUbXv zJ3~y9@EwEQw#92>Hy74&NIXEgH5R=~lP8UWQ@a(4Zq@~!+E_8|4I+kj`_UH#*e)XR z(TDjTcA5RfWkZIBv~|lKj7W~9@p+`c4Wd|IHh>NkRz@1~litYax6%$n+Udl6o6f^O z<_GJQ(%Y$a;3~Osz2&RNP{eT3OK~YQ`lNbXF{!U$hZ_1?hrDo9Uc*laMQd#w3;LGG zHkZ9qCvE(=+cNZ_aVZ=-b&8!q)MegfP-mkE%Ipy=8GX;MqYnU*k6gdrk(Z+!n6}21 z3D_LlJgD18ek56VFZ@`?gHp9;qVr&YG!a=yg^0040<2lCZGTNOD0!AS8cK`j!_vaW);=;sO`OmeRJ;6dBSEj*=@%rCYz+KHJ7Q+LJ>dx(<9&8$D+5zh!RKa zE7+9dyPD+sjg1gUdqH+=mwbVgCcgqmR$%_6GVHdHe5on9DJ`*?+WC|kau=i$B7Tck zvI&;@?mn#UqbC(*$A9)f$a!@EnZ}L|C(?Nx8)wd~l`^ocJyx8dNQJGL;Sm{M5MR4B z+Wal8$X$^;t1dv+LHl4T~YbpDkg%H#$y@zDPJBD!?;W&h(wcjMUc z@fxc@y|+#+n#BS^P1?oO2O9FewRdDD-bQ_MU~9wmCMldH1A$HK%hs)g3z-yH4cj$X z2XNnRwhdR+p78-Qhh%rToFb5Fs&1BK*4$_P;eAydtDm~>5~>sS(}{hbx6&~#edj^k z5rEXI(1WNFen{$Jlr@2l6|Ns+L7^e8aZ|DNX+@nzRsyn*itd9w|CD!PR_jRD*Onzx z&om4{Q^sghUyOqUt%W1adGvYxp>EQ+%eG>5&O=o)oQ@;uaumEzmz&V>C&$UG>Vj(@ z?C?82TjoNDI$ZdxqLiKa;u_Q5c^hMe^=0eQZIW|SCN+~(iYg@!_MhbveXqn5kz6`1 zZ>m*OeofTmB2d);iP|A9@JY(!;Bzaiqt-O6g#pp_fdpL8X4eZKwze`pU^nWIiQ?Px znO5JeSnf;&ICivC=;k96`%jlDXE zH2PzB2f|HB3HbgT-&AJl%+YXuAY(#Bex3s+fyRM)#p3vrj#9*28R zA`u0LTEJj8{fF}L@nsR7ru0vr9qSC+bwAeI?{tF@61hSgg^QK^_!}q(Hp6Z&51w}y z#5b3iS`*jkawDTvE$$Uc&I*W9Uzq4O<(hN1i?VYnVP!{0-`bV@%-{}cqtkxqm2rh- z66X41LMh-7(MdgotOu>iJY<4ufzOK9m$3rwBrLpH_1Jjxb&$S~81fdH^rF^Sr&wA< zyI<=99ZiX$X8&LGt{EcxJAnkbA9ZiHN{6aSXp(f@@>*${kuZ8WN?v-aBGsbNNDzD@ zW?Nkuka2HQ{-vf9`$Pk|fI}i*N@?H_B9#NCN+u(#I}p>(LV=JL!Pj@{t`$Dke8lrY zfR1t}UwjqB<~Geb*~@kGD^+rW)nxKZG5-9L$D&R5{15qes=MS-8BI=2Hek1L5NS(C zw$dFbb1MKvo}!zd2jM++X1}c*ezXq5B%a*-{83~o-~D>r2+7Z1 zig6q>-i)rZ7%F!<>=u_`OGBNPOwZfO5~C4J?~^t__q1k3!?%@C^J{p#K6OsmHjyDj z3GQJM0M#&SpNb4G3)?efqU1nc<&|oBeM+bCJlYhZ7!~eKm^n5E+fU-TK{}vNs75b^ zz~NzKd|O4xhR=pwNP`6P)-^$+JJBTNBfS-AVGCa7cUoGmnp=OO#k9tyz^P9^yd+ zokmb$acd3>icPqT=%WfX6>{*dcsDWq^|?7rj-AInH#BYy(@dd;%S&C3fhy%hNkt0$ zz7*P?6|4sU_SQGc1wYs6P7bzWw!B8JCnnQOSS6sS{FHGstk%~=ew4q3k8bNe|JKxO z!qdY6L99KZVQ?}>!MYQx5EGRn8+pe^YLxi zzUOiuO5|F*WeNaZ!x@U*f-}JC|Im0YMD6dD;;;8r$*~!ia|cOQLuWQdVOC6uk&zk8 zl+jlc>Xl6C+R_b~wj7Iup45(Vz}jLJh=;YE6QNPBy%H!;`3GQYxIi9Dh}7F3eDJ{X z@mF+az{q%>GCq3k#Rj+GFe!6@3yIhd9L>v5KADZXrSr(@66a^UYNYjRT2^2=tSOV_ z!Y8Yr(6XTvkK<=2?`z&q%iCthoc6!=<7Vo%gz&D4ZLiM1WQB?h12j3cjlaKgkl21B zc{j@dVJm*DvI^@bGGtj^j*j`JbxNR;^fk6Vm{lW(DlS%ub#$WYKh!G!GnXC|3fj`1 zNu=%;Vht|rnaWNmVGNXNnoM^65k8&xLyq5pMF?~VHVo`{(#`~|sDPB#z#{5*AR?2d zIe|Mk-H?~D>)L|SSIbsbn9&bYuWkhfs#bNq`55j5gD2;4ZW-%qr7GQ6P@K8!n0JT2 zq{JRqubIYHKa6cS#U4Xf>gZcc3xO?_Ev(7QHkiyFbIt|vq%~13U%eSFB`ZCZJD$Ug3FXP;KRdTgB7eYi97xB55Xg|wM`{cZ> zlSGT1-K0DHlW^fq^jh?=F-iSg_D>ciSs&LIfpr7y^kFltZtva&`X!1XaW^rKe&WPG-$V$r zzg$n?A}HiF&KLlPR&-Z%#3$ionNd(TtJ-GH^Nj0n-6Mp+AX!ysp1xqlrA&!sosn`(7|Q89j8xp9>?Y8Obt?ua!5 z``fw7v&vz1R~EmN7)Ftrv`%7nAW~ch!fKK@5C>e}Zd+S)d$`&a!lJJ~t>CJj$4D~~ zG7!-VDg%Ro0r(@*FD&(tZ=ks&hUNBT<8j+;+|v`|&J>?1Rg;Ni6XWB1>25t(ooc~+ zlhQ3AE7Ce;dS$>O-0lHO64r+xd+j6dfI^U*NZ+nD4GZIS=7fM8;r5Ipaz5sDI$A^* zo?8?&$Rh8>7TPfnP0+~^ZOM@VUcbi-UiU5eITd8Kad8_*B>vJq!nxJlR))BP#h5+1>xQy&f!9NF4j8Zi1q8amD;g#AO-%`cV#nO2i zjp1-LT@Kk_cLrk*?-fF{brNu!vcj~O_Odr2W6oCN2O3kB+PZvQd=G0Ld^v=RjmZ!x zG7@dY>}WmM*;c5x-*MozTIvm!INJls$#STf=moMDaAV#tkdtm$+!Fry>bZMj@Wt+C z*b}8h3aXMrR<;i9e1YV#!a@y^NG{x5kzG1YO?gK0q@hJP8wat_uH=6#eI7NRTQoAQ zDh$rSi@trQxl#>%??!6&@jl@N?ODRkPG+)V)bRD><1_))$yS#Igsg0awlOhs^jjpK z{L!yfskYYS8hhXY{Jx=_qb?L~8BhD3TLY!4uHbi{`hd#->Y}dPKPJ<1V*CHF_ntvb zHe9=CXd(zmmrjsG2u%TjfYNJ15205Dqy`BHh!g?oQbXunYUnk9fCAD{klqC;B30?# z=j46AZ}$1VIdk^&%*1gKLu61331CFdy&gm^u6`42*OlEmyMXE*0 zDCZf^a!pDvZLVK5r;Sex!JSKr>nz*X>PY-X9SOpkz9>y7WfJn0hOrJAC{_@^y0Q?MQ2JebNo?t`xA+eZW{)>cGnBBs|;r6SSLt==fAM{OS%X zA~45e4bp3YMgh)@cV0kvOmuEA?U&HV42|lkQbm^cZq>VNkrgFdlvedrIU{=$x`%G0 zLy{m3wiIS9wigB%03V6;=-)z;lk;fT*tUsVgELfWDi@_A&?t?p#(ppK;NuU^bQ^CP zOSnTiPfoLa{z335DKkVYz2~PAOTKZesCRO5U0YZc#nkLoH%Zp>=!OcOav{9YThosJ zcFym-yxT7cCbz>VEmJz{Fl!g4O=9cto+2n#PUe7*5;nlefw{fFX^H>eHFe*0UU|f< z9y(AK__GYl`RiFvc3aCAl?LtFb;-w5gBf0m78rL729vbk9pmrsQuwRi8Ej72;KED3B1PpOj8fzLbskQ zqjuhCxoerFwxVz?$^}K+N`$ki!4NM?F-k3hsdr%m1gr7kfS@WBXpJ*($IF2y(LW~U z==XuCx550$eg+5bfDRI4Uq5Dy&X0UAtYiGC|{m!K1UO2z%xi*00E;2&kXilP+HNf7 zNG5b_hsEadPPV@c4>TCZcAu z+=qExYm^JAfhgz>eM9vNZBtKqxkVhZ7T49&1pm?_TtyGwvq+K+(tB5baoO%Yvq4OjveiASS|RNA@G=w^2z;h zr0~%D!f%9a#{-vbmsMf6cS1L?|85s@2*Wp!=rHKU4GF8M*e#lGI0uwkOG!NC-BBUs zgbCJiY*)*}RK3DVUmgYeWU5u(N(Y$&+9nE8ViC0}(Z5{`8Z@E2AQAvM@NH-mQQTXn07RHn#lCtab7<(KvztA1FIe1E#l$o3 zi<16bj&7!g4F+tk6O5EQ>CK#P z6}7?k_HGO6V?&>n<0fX|u^iA};$Nm2!bn5&uDAx+-X3$MFv)(FnB>v!s0sc2Bi$fJ z_s#>4IO_@GkQ&{2wnU8xoVbh!DwgYTbi+z@(?{~(%0xhFX4u* z*H#P1hTyK5ObDK`Z%_$KB!4)S@1ZP62cUODv?lotw^hGlePc?5yB~%4d{5IE`;(l~ zQ$U_ozsp?=)?1b_=@U0MzqhL?NC{1R`pBZ#;y)UqPjSK(a>;>xiW)`?!D0R`0#iJ| zh5sTYfUar3zPIG}>EiYMt+(D%a{m4%2^{M&9DhFBRuk6hf=j=TGsphqZaq!}5#a0a z$CVI{`ZxPS(96}`@)+3?%hyr?pR>_43|}q1P~erH%Ypfj9|ZYY{;tTM8_;det!mST z#oENDrVSOUuEYt)ndNA@gX%d3tS4xBnbe`+ge?o|SWChDYWqHnGrw8>)1f`&XH@nL zJA#WXt0w<}WCa&iyhkB4!QGFWEyL&!(&fE06H{zYMX8gkIN7x32Nmg|p*5=;@N-%X z5>6Yh;>a1JvIRKqu#-rX!1=2@NaE;S9U5dLznc{h;+a!htP~>P!zi#CfIRZ~Z77P_ zcjA^CPdR@xeI<`BBYQ_?`wJ;)l2MMW__Fhfq9ne_Y21?dz0Vd;#2PDj;~uwUz`O&FMqFJQS)qRKZg6g`ca-9v*5(EqX8%Q)2_)NX)J~!;SqPm z`#4>IAw(m;I*@={?uWbD78glugRScK^}Zw3v>jUJ@6hI+(ZU93&`)4|Mi*g`>;5XGOHqu2TC&+E%hm zNk~8hagnPRan%xjViLK<9`_40{EoxzW#q7lo&CG{b&Vj~$4P6Xr<;1W{b$|4M=(jdMhe_on;|I@VAL>o?(%V793Lh&fK?}Jadl;>#_w{D! zy$ZPT?DxV^iorrm^x2jNM}mUVLKL+RXa_*_}Ucx>C;?5 zWLLnO!-E%p;-4sb=qx!ek2noz&s4c+S5hFDSolK=AW*(I8qZSU9#y3GXH-uUM+UG8 z7JB7WB!EtRc?i3uK?Fm1UIRmD3W7yPEGHddZW-J(xqFt!lv9{FMu8}FPD9E<3r&Bm z7yu#7!2_;Qcsw#7Pokale#>Rk^_`xcG^Ksa>!L*P`TB2m0XUX6j-nT?ZdcA$>^Rm> zR1Pj~F30bArREwcw&cB&XV;-0lx?vovU&&9hFhU4D+24_>^7FXta0eIZW zT-YgBVQnhW21BPy%%&?&dYFRSb<5iSmnQYMjNqp`Vb`Q8ec2HO zGNwA~X>x(lvCs{B_xx{Fs1(ra@TV-|+*nSF5>A?mnj~!Bb-{e@!s~K?4N-{NHz7k) zOOxHf>Ty18psJUcblOe%U6R1j*)z&>xW}VmFWu47NyCH6gsQS{qrE@<(BklM@1giz zV=QyxeHLUwoV>gM#V~Q4N1(*!(g9O|_Nz6}BQ#wSm&=|sb_N&{{|cQ@MOs+SC(9N8 zg-_hrPu5kUwj5TdeLPtg1-avUvRwMkJmW(sUNCQBbhamT|Wr>928+5GoG zI1%3Y&-qonA*tPV2lDmJR%}H109cv|E%47j=ZTv{p%GvSbd!=gV ztrFVp{fw72=4KT8Dbr!Lkzm<330~7@*P06+_hgL*%eaCBCe1kYhy@fVG(1_?D3w}l zH+D}Z+0_bem5U6{(ro>%yegf3;UOT%{?=4)Z06ams#O0-&(zfZHmc+UV8RWVj%}Fk zx5~Ont$=FN3l;cgZfOyXh8Xi&Tul*wkt`qq(6>>aZSc@J%GhellybY-CkvKrkdHvz zD>;VnCrdwt`SllK5Ln{GP9iuTXKviZc;;(8(`@F^v!l}302HTa$p}3hvm)Cz$hHE7 z_^avio_4TKlB*RZd@d*VdL6aJpr!g2j4ZWUjUt`ytGmF^r<`HIs#UTCg&iJ?ptGN= z8oRrP%F?~3K+bugg#Wloq$ucfl>~b)v?`I@ z6PcMdtS!mE3hFcC((AhJ6c|JT`a8~k#tKbciS781WQI2~(~4v%SNA%tbfO&jdd;nv z;(*u_8pTOowF1g2B7>U(hkxV|ryNo7hIM5Td6bPt5lBFE0x^Q4*Oxe<%H;eqpmC+? zpulppzqGPZ~e1dr-VP~Q0OmJlM zFxvG~HPOK(JpuEZvF(4M0`oW`m=es5dBePBdgU&vO{AG-t3}!)Y+F=53NWZv2 zbh(vSp!}eJ{9olqMXdxMP5={cf5Dc#yZ3@Mahl%3UZ|jduI82A2oo{AV&{&(Gr3x% zayiZJg7%`6IXx+zwV}m;^TVh6Udov0lp>+v0hQbiPNG=j2FD2^%-X+d+J6wN2!9R3 zU|3PBqo!GiUg}qPR0m1I&X(~6glcFhGg`&i+&99N+uThuudou_rAYch@oKBRKv{D6 z0^dIlew9T=oCo)uA!lW4-isa8c4=}h7sTD`^r#UQUGna^?`Krk=%HaREiFr{CZBEa z!ZB9Lc>C|){Baq}5g3Vs2}0vtHqY#O<3}(vfkNn7npecd5WKfzx53RzP$rHM(NiLB z`@enfDgMvAI&Z(%kMs-Co@}(sl-^6FL@s>oQco0s4@ShA@}>9Lau4G!9`cO!x0pkG zB)ojKX*o;0jh1Tp5&2aPcQO$a{0OU?y=qALXE){9be252TNf&Z8!9}Hns@Gs)7~A} zPnlDCna|V1W7;}0s2t_6P%zrwBFhq9yg6`N<_55SBn3LL~*q|sxKj?WR^aEU&1_} zZ`~4qHz&`POjli5Q2g+G1%|LtZ%S9TP$#<|Ij|OZMk15Sr8Y~8g5(#7VSwhaFyE|-d138uB0g?VgiyDO2-_K@mSOzo?N>0JYQF9 zElAWiSuh(6PxKa#q_1)n&WtMA9J9DRQ%dpQB-33q=W4o~PxmjRxEQf*OYp=3n9UmS?RnrmoF18%;em(1LJ(vXJ@^B`F* zh}C=OsK8TJtfxd~q-f=Ra{kuRT5mC%O{mxaS|Vu23eNjx_!-;{@Q=E6N`$>i%yMd1 z+m910R9u+6_d`5MI~qD5Iu(I^@X;M!mkbblASc6%++}M+)(JEx`?v(MdOr&O^2zM& z%{w|g)2k2nZSV~YtHRZkeY9{ta^QCL{zYo@n%1kzWTY&>u&hBQj}#e1pYTJxpE1m% zZ)AR#@WZOzF|tgq0iJ z>uw?R1w6Vjr-?975kDDk2r*XYkv!*diR7QWdKuw@{Y`zgi#Zuv-5gLdxZBScE79$a zIE%?q{}m)&MiZ;alWz3?saURL|0l&O7GSSZ7;C-qDs-Ig>42fYo#quqiT#}qg`q_s zz!dr}@h)%*4P&6340Mu2Efo4RA3#{95GtLKc6sv*F3jHC$?_suJIM8Ym$gu(pd$E| z((ekoWSY)?0%alKqKT=_{$_s^Yl`_>HZevds}n_+LDH(UD3c}h4@>oIma+IXb_>^EX!IeWTQeI(if{Z%?j#DzS zxgJ$j4+3;7p-KU>Kh$J8epQOjvvb{B{dPzIDACUOJZ^Fvwb85JrY7EDg&6aFA-rj1 z5OTgs9dhCO7Gat9NNO{6y+O0wg&@@P-TsPSBb0~YM~6MS?l&n-aab+dLoaV`TYx9wM#8Pg{D9%6;i@IPTYY6cnz3jxZt z{BCy&+3RDq)}t?jbLo3hc1^p2vxkGxPb#Tx&rT1$2}DpnoPQSxy4MI*x9;g^u)Q%yyBKw$?66;lU}Kall3CGTZFR`o==OzHdHR#a^;y-7h$o7v zY(1{{usasMb@2j3dIQpwMlVmZkv`PBCi8Uoy#+U?kaRVdgJ(tj=#7`ZHEzOqAaKuX zbx^i&K+Rf5b6M04gq`TKWMTTV&UOt|5R<2Q!JnU$L>~jgB)k0V;0|Yq};5 zz0r(c(cNK;;S8y81?WZ=cW%hT?4@Eiqlpo8EBK?6)kqG~fZEZ8BtB8NO^3t4f4twu zRz3is5J(vr>?SBPTFG{&SOiuYS8vy_pb>Q(t3o?ftif*(iPGJm@X~-0oX0=3&1BL@ z;Ab=aT;HvEFQQU-`|6{ZoDnT{m2MciI8IPZxilx$*23!jLOkn~SAiLG-^{)g+3}wEPNoVm1z-TI+xw+I(OK!jX`kH> z+G^&cEBSR`9fMc}l5-ICGs2yqD01*9E>=r--spJ0L?fF5Jr@R2@qb@fShSkdLF`cw zoa;uoqQ5zj{o^Z>xg7P<*4Onatt3|TN-oeYl zIVTk-BBM_Z31ln5FMDx`bt$gZeXvk_phy~W+hoMduSqhfc^-dKh7a$`>$SkDkoRBa z3us7d$aP;vIMknz*OAtLpoFF}AxCi-aFq~2J|UU#RfVY8u_~eM zZT5>M8((A%BNnIOngfT!2B54R1HG@=Hm+o4o3`fDSi*%8IG=b}D?9CD6wK;8ut)AX z;wQs-z*?3vyXEElBj?dpNlfXl?X49P^;+c2XSw;!zX0GmOI85H&hPcUVEn<2G@W@V zTHfKn7hrW~#zg9at+AZ@9611nWDcqv>5fiWx`L_5@5+u7N9{#E)JDWw^m8_1QS=-l z1tI2EP{!)V#zVXuu#uN%GVFizEM=g2G7{3{&WpNkmHm3i3!y9nQS5vqkEZS(JT4%V zW<%3`%hJ9Vx6o45%2?=x>LxF)V>aBOOTN0Ci zA&l7B+_y2M?&SuBen0s;H3fO-ScK!^+dIoF*2h1dUfU*Kl^%erc&wXJd`Yvgfy;7g z1#c(4ND7S1Q0Y##&-KaHny5@0aKbVNeT|`EOfrRU`uQmISxKPV2=>gUWsT9B5(XCO zYMJ)bl*J8&8E;NM5VI-`-YOY zeSATr>*^$YaqbUvRh&%y;CpNpq-o%W4tshK)KZ-k-{uandRd*K22IM@|Lw(LRbI-; zmh;^48?<>-g`?=qIcGk2;^hzpIcD%2&C*Fqe^b_0)+Ozc(UzZ=n^H*0sNG6>O!0yu zrP*r_q~{LM+t;@0&!b8Go~_$zMd|bSI$)WdW4OwTLE7jvv`rMxYa6sG8GJ6&!k?LE2p%GY1#Kh`v+ra@9epA zFg;NQ+T9-ZAh~xEyZSZ`t2k&Kj@xbKRFA1i@D-{~rHi3e1iqOPpNbp#^s6o?gs1{b z6Ki(z6ci7SBlqNA|#ubEn5wxh2%H_S z+{ceL?%wTo>nvxKr%rbYC?2F$jv8jj>Hnj_dPPlPM@s)Gqw44Xz*r<)X8aV~T685_ z9ogn2_rU2hQa;KF>!l;P2Pr?t(Nb1hi7G?7KpV$s0); z`Ef9S7v_at!hOSQw)*D*Wt9y(;70I(Ua@4+!`0eJ&TbqfKLOl!V~LZ%5`zO-RAOkYtLuU8k6P=9&J4J112|D_D_ ztt)6p_`W`H%d0#g{Oo{kwT)?@hGb94WiZHV|}kmfu^Q`%&OG zG+&y_+4YHVReZqWdUP9Xmyo!$q2t3m z1f3n47col>)ea*9knjeH;J*HpZ+tY64^C?jL~}o+ypb`Zx#9AUnxw?JZ`pzM`otEk zs!FeV;98R7)X#Z|0v|MGk>0?R$ObK+mPb*Vhh;llNchfY-W(1Svz*u{PkV~^9$HI* z$Pxj1pj9Ef(XGMdaqFUkHQ#01fzuEzm4KNkcmJ{G@xKeA-0b@T)716F+j z`Jj=af$oMUaq3TWIF>%z^M?h|RTPc_%&`_tZi9?p^L47TcA62^qF5YS zONz}i8Y#`A@?8KP5>g)`zF+*e0jqxVrj)>kShHV}L1nYQ-3Ywg2w@Mqw4r#$a}p5n z{=za;petOVpF3-fs6CISC%rkgK?2$}tNXRiKaR8+Nn}cXQ*L~B=MQ>HrT+K-TO-!$ zmuyvbizg+Cq>!#wX~lq6Cks=M`CDJX#)8HLHa!&AHLcCwB6f%n_#iv+R9^zDIyIDrJ1V84W3>L{#Lo3&8NKwf^Nz+B{PanuC_FUZDN3o5>1V}`YwW_5TNKg{hC691Q8EO6L6HW z($R9G{yBAzyDw7LWtkau*%*uOmT3+{zw=PzzXD6M_^)CBFZy1hj(1zpwEZfjdvyzG z2eg=kZB15qb57?a-3dX_YD|w-lZ?DTEqh|Rzp)|0nwrPM{&6@P3`zzE-agOPBRIb# zkYLBvs{N{M-sPmI#(EVbjnYj>fTStVy^Kg~^QgX@+F(NA!FKI_*}XjALI|PisFnTe zR^skQb`AG?7qM*S-=s>wy}TvS@e)yvgnqEv8TN~tE$V1*j8k132$`-s)f`qsOc`zT6%>{O=8@jna{pd94b{5K)yf~Qv-5P~c^UhyJN^$= zwNTR*D~3Hh3Q0i}@-xo-a!cDhf2`L7)a6VrJ%+uWCD&$K{V-JZJV;!Lv4?qoW6KK= zx2-EG#Hq)L&J241*igK-X75g_>h7-SN;)1WC$lcpY>6o)4=B(w^jOppvB*q_ijo>i zdA%DiYnR0@?6Vw)tNjSlD%HSWO!dQHXrf_jNcqUuIsJ(MbClPa60on z=+dJhrw$&ObM7-n@`L4C%9>KOvS0x705Z{(PaU(z(lCHS{G-kU3_@KEG&V^%gI={5I|(itCX#XWRT>BrI(_t}o`? zzin9wn-nJu;RS=JN?)U>cp^8KJn};QFJ{e8@EawTYV(rDV`bA?aIlh739}HJX3t3% zJfLMw<1~R>-L!q-*lba^#!QJxL^&QCUyF#Y{R<$gWq=ZYq9nX-iJa_4CabDX-Dwea zf+OA8Q2|esH`ppGc?1zkAwkeJx!VWgWv|P6UzOh^>M!>4Ir?)V1b1;xH`*JM=a~X` z*P=xDA8uRpGOd!?Fjkxp?Ku1Y67Z3tiX`?=aW>Rgd}NdZ_SB5UiGUA+_d3yh`FovL z#}!k>?*@Os3nq*|=UfWXOvCnqPTr}fhwdh;A*&CKW>WHtq0e}HOQcfeq7E_FQ zz+u1>t6v!$xVZO2S#V!aX@a`~?`5poU`c({vy4r{ag!Q-3N2$6geB+-5F);G;WF=R z4)^)m)9yNR8!8sQ{s527KPOUPC?#9;x-bKfNqyYAYq|$b66893IKhHqLL=PqGfKz@ z3TFt7$*D_UB=p+~KFU|X0KaeHEGstWepBaz{ttcq6Wcj-H^xIe#zhRD*IbM$nxB|a zQ2w|xDIhj?>o0&(o;Xf3w%|hBoW9r!c~5iHEG3fSe(E3x5sZ7M*@0ZXRU<9r%Bx+0 zOw*2eh&rdqEN5wNj_+>qUW^UX~P*T75122_e7wT#qH|GUE zhBcGk0DN=#LMYGIf-D;w+`nFk70GzcR+?|9brsNOr#{sX`^swTDT08c1VDCG&4r-{dZep{*VSr&R^C6u3+}HruUMFRE9#*rlo%Tsx*2{a z{iaE3t9)9gjOxP&KTGCI^x#g7)(O0wc$2V{R`8;l-8u$bXRG~dQu$Zc|DOz}-T&fy zT5N{4n9He&8RHNZb}QnsT?Zx3`~}c{zCZY2wxaJU`O$xq7<^M@AH}d*F9Lt6ZXTr> zkE7H>fleww^zL#UPA9*@kG;2>!vxHetmB2JvM@h<9~V}H)MIpX#1CFi>(|=0i)iYv zG^~!i&m#zMfZ=BG3V=tTKN5ZWa27KkVb$o-cBRE+_NM0zpLF|U?+~G z8`X!<|6Hcv0~D@asF`U#v>n3>vM(f9*1LJ^P}%iiC@7sM_QROFe2J4z`wq(x<;6wm zB4r#26NcfrrcM^7rXbRa)j1e>!I?~Y>ukzIrU%oP3={kG=gfM2N%ukflw>gO23j>D z=|2w?CxNDq&E9{j1CSEEscSZJdv#Az_YAU4{vpnm5_6q<2ik{yi4!KZ1y|d^-%IPS z$&iS0WmTnspM95{59jooqU8u07ZK%gCdD<9VZtY%mm23I`(=^E^+2=kFrRC&nr8K1!ELdR0NJmB|aD!Xs zL|8bhWVx=JLcroc#R9M3M^0Lp6G=)-pizGYJ_NofYjFdPvczt!e~hIrO8NGnNk}Tq znbGWARCn`4S59O=QUj=Dhg&!SyhDF!81T+;{23%(7tKyKpG(lhF zemyfsp!$s^ot~uFx0xz)X|*MF^D}`0zbdkjZk%nmk^9&mn8nPDe0osEA(xrYC3 z_?gPC1dw%QH&!Wt>f<}Dk)qd|j2mJ1&qj#b9D

efd*v0$j<)virx@oW=|2U9SoL#Fl&s57 zRM=J>&wI}Y-Y?yA3rE?D$S_=FqWe9EaeN<(5&JP@49Od0yc>LMRj9>O-+;ifm!nip zs7n6V+qZ*-Jb*O*t(=L$F}XD|Z@u3+KO2ep>;n>^u%3W~LY$NkRbac-!i_!jAHkbkgw<>h)z z;x*y6#cbQeb5=dpoc3FJ-9_9MTLkXANlLB`fNevdzaj@O|3TFCx|MC>o@tgvfFzin zluVf!GaJE(1feGw#h-s@irr4^8}BWIc|J>FeP-T+6u z*+HFpk~TO4s?w_DC8g{4~A#^0r=yjd0TlCV2Ed@<#E zzdBnhVI(q%cN-cqxjJV`L;WUee`$ZN;?gnP=l(F@`=lr6vsleCXA+HKZ6D>G` zh_pRH7N`#=qT=HLlLW3N}kE@R<_j8D>IcmSjc}X;RTdp5p;8s;c zi|`Hke*r4wNNf9pqz`ty)ozFdc5FoiN?0OzZqd6*Y?{pD=l28DJzt2sK+s=vC+6dn zbG%@(*J7zLHvC!DPzbj)bi(_xu3|Cuw+LHKZ;XDWEJZ6b@IOs92 zfV7J8t}YLPI^P>wG$_-*4-;N~`@T7G0&|Rj= zUDXNrCso-w^M55--j&HstxhHdiuT`*4`iA$E#OM7HTkv{vYY9dYGZrvae{_{hHeZ$ zo}r&H_k(;k{GXKNhnMZ?C|DDZ#cWZyY0ADmm9N-=E^Qt%@lui0h9P&Alv9<`MI2n( zg?CEWw(_2%62#1R8b1)lWD$Gr2bY<&KT&@dL`>vYb$)qn^h?KBlfK?P6ZMIvefuVj zf>SC>LL)J9E@VL2nIoXkf8%UCSNqh^yW7q6_rcD+!51o`W+IZIeWVaw51`+$Z0?J! zq!YHPan~Uig3RlSK@;Z>3HVq1@llq;XXTqUDq^a_^# zPRs_7>djJbmhqRO(qlHmjcr&eo8a4A_Dy!*M%ar|tavhz@g$)8I7nfDSI^JK{+qRR zS7dL79O6bw32 z0EPt4jJGf1i+9cM+006__ASIMB-pmDX~26G)@1mu#pFr1XaOW~Tk%_04^xXM2tfP4 z6Mo!O`TzdCPb$z)}BH?w08_? z+svFw+s0Q%oFE=|xoya)p+EkmtyV>#SGl^BiKCQ%9R#kqTD z&yC$$BN0U{5@!dCP6HwiNrUiyL21z1syyHIi?>(Dzw_FT4&EV%^hiTP{P=duPfiT) zo;;h6FmL{@n{G7!MP^)o4Ord3l|l)h*XST`OT2PGI-+wjg(GXt4eGee%uy8Q2m-hD zGTYSWUSGDV)zK%JIzRnfI{Qf7R3@e|8u}jyIrn_*njKxXM%8WPMPW94=x+vz0yj3v zYnk>p_dOJ2pFC~edndCxpsyaKL!S&0#;zT(bn+g?DlYB}Icv92{`ev!_POaTzwJOO z=4BXhVf@@pUI1adX8~^RJlCxRAsdCo%9DhDmSuf$&bez)0f3<)t9{G#L`E8{B#3+0 zIhmVw;((*elANp-P3CF>UeQU&G?b^nhM@`#vyfH+!9(XA|0zpYizl6Dh5t@bd3sCD^8{6-x>rzhur} zq0eGNU&@7o``5ccHmg;KSI#A<`km!D zW2HD-g3Vaa8sBn7Weddsc@&T?*?k|Fait0cJ6QBYDoYyLz2(o4}@ z=n71lwO2xM(NAf_MbvD)X}riY(>%(lL}_A)2@SE5p&XfEi2rcgZ0qkjf72&+>pq_w z?M+yMd;bDNm0x=w_^#vHTp|v(+R`>Dr2D>A7)!Tw(WQw!XU1M|h)&hjYt->U+MSb#L+=UVt zu{3AveDyKc^>5z&ZR_uta!;tC#I%+I@ZzY_tF@SHQDp{yry4Z?uTO-^&AUNUO%niK z)d!u@Cov8MIsM}w_j>|*dbf19Jop)8w0sSxh;HfI)nG!y&JOYiC}m5aGKIU;>8SS; z=arh&HC@OO?t~3eVk{Gy+lxQw72uB!`732dl#A@FbDD&3(-7pA?*<8~fzh23`x>-N zgb4)jDcz& zPe!$kS4waxQC`L2YziwIVgP;v+`V2_i|l5fQ1iVN8MYsVPt1IY1#|S2-^^vjMg0ZP zitm&$@Y=m4ThZf^vZRwdjB*1PXWugLH|#EY`BPBdMn~>U)`?e6_Ki0Zz(VOID>NDp zpQ(86q3HdkWvE6piAw_nDdg*AG^bdj)Lr5pj%{|FKiWE>Y9F{E5f;7rK5{!AtjYz3 z!jXk|gQR|2()eKsa-Vu(oaCBd=EIsHv2Q~8@%OA?D~yyFc}HLjvFDY|o4lPNJJ(Ym z-pwZ&N05dh5$QiJ!FEA~c|Ls**(`_MEY7=5A8%8CCwTxE$K~o+6umWWrp7NvSRIG? zC79VyfrJ%RwM&JtlKFje>I8>%^rr2^u{q9&`Lvctl=b$*I4!xlQ^}N|!r!jT#EPdj z%U90#{x)>k|E2|~(3Tp4UBX0jWQN9k>deb3mul>EK7>zDZfa{>RFiCJe!m6dp`cDC ziTSfE_wd^a*rI)tU*h$xqjQ?deP}&zZx$vGlgs`1iY6(3L)$xis(vvA_XaWVUzgC7 z<1RU`s1CrXALsyB`Id=a#0a%h7ZN5J2M#;l7zc0>!eUwT>sD9Bf^kc(M6V~ zuxWu&SPI7&aqg6_%U-% z`Bm$IMk?APuh_{${w1G!6uAoU>&m2* zXFUmXZoTC;GxG6O4ui%kb4@d5r7pdMH(~rZ6Cz%2J~p#?7gJ-mY5cMhc(&nJM9foU zayjb>hTM9fxBez~DJS!aIEVl``yDeFYhBB(nKN*Gcznm)DPkUC;Mi$=9;pH0TWuq> zK<~Dm`=D^GMgwV*I%?=s-X;*s-Z?#eZGtq-f9AK)NtRzE?d^!hjd_@BUr5^7f}ijL8T9yzz~VM z>9-MXLl1%-6W$#QH|%~?TsW5Osap<%G!2puh9D`p4<>X{B3L);X5KP2KH^y~!hg+8 z=FbAiw@l@`D+|(+(z=<+{aj!Y#kYT^TmC0vh25)!7TAk&cK@%t#&qZD7Rkq3 z0;k2SlSy+iXK#g+{F_Uw65Lb85)Z?uN_c@WTeu1(K#M+MdKsmWOOn!D%^kL|=Hf>pX1w`cN%~)=iHTEeD?oNR10aAr zpVlt{!aWi7^gRLkVjH=`Uzj{-UV8`K`L42IrIw78SDO0vwEfGY-ckI$MU_O^G=!@S zGcSQO$gVtqrU448=Ouk&mGu^%s*2l7L!WZZMtMD_(JHL9$zagU=Fp{#PFIm0>6otV&gvUPv^ah@h2+ZO5vdsNfbO8!C3g}5F@4#thS z+}yavBuk_JgT42TYO3G5MuR9-X#xU*)JO@SA|N0g1QL1(9i)X8igZP)Nbe=|UP4Le zB{V_l9i@dTDn+CV(wkpA=iK)@cZ~Nr&-wd~`wxRL7$aowz4n^(x7M0-4HRQjjim@^ zmRgEUAu!r&i7tPn4ee#R!o>zA{g27Z@jI%-UU=hAPdQwdNa^M6@zQdxpl%K)97Gyhv>(^TLGY(IId{uU?MiK7+6Xx>q6$9zmftE1OsHg@vP zTmIzg=HUuCh`jNVO3v~`z zRp2+S5x({9E_|4yB>X-XP2c-7DHXXxnkAk!wPpM-m%doAtAFhAP`MC0}xg~iA(2!5K`5s0AwZt82dw$;k zq&H!a5+;Q`>Tyb%(wckL73tT~`dG4#5$s5}4{`}|sjS<79Al$@pl9rFX*sXNFHujd z9fGoVCH@A6f+1nb)he6X8I;ndh~dvae=h2cw5{kV;oHpZeCt|a_y8e&B>1lYssFbC zVHNq%mI;627dw|z{7rNU`b{8YST%x|1S~*da_!n1iU5XPLyZ_!Ax^1u{?A=6jj%X_ zr>@vWr`Wk9>|D@K>qP7w@#Ioo6;)s5biREm73sxMPtJ9TGEm`mD-m3m&+>lqOl9Xn zw~1m%Ai27`tO3u~!MmKAax0~VsPf$2pLG>Fc2Cr-=G3BBt&Wz4e_HyX^Mu2f>ziiD zl||qQ=PdxwMf4&X67_V_w2QCpdv4@GLq(&Z7L=ZHf%?}~3)&8+$iE=v*kY-H=%~r6 zvALww(X4tSa;qw;Zo&Q*l}-;BIQC(MCz^E)x>$Ubxl(ebtIPLgU2_9cMtWws_P8&O z(pHdRwQD{b>VZhF4OGX^jl}3`h>NBnclHyMTc8*Es$ii{i z)6ppLamOud&8)Q)lT_F*%+l=vgg7@Lz{`Kmp)w%aAebh~5VOCdU@z+V0-EtG<~w(3*Us&#-#GaVc^x-0V}?m&S*Qn&vwMr~rnibG8S%zXH^7TC%|m>;5 z5m#4~2phy6BD2Bi(YZ+3(&RD6ymTjcsQ>n@222%mDx3C_*{iV zRw{(~8il_*nmz_D|KTROExGm{Cq2;qd7+-)GwjTnDnb|U0MpJ)Coz&qezPSQP*9tE zkC*r?&TKUIEZf_-+3O2a=KY~5sJsnu^cLTgHK{TVC`AY2WsB{<&f3zDWH*H$*U_)| zU`SQR{PvZRdv&c3U*Bde231tkiTxcwRo-=;eo1nY2TmV7$gv8Oly;QDRGpvjO*nEB7t{odQZ(aW1JdV3URee7WY;Gm4yl3BGV}q8@edB#hTYXDo!iJGzHZSJU4jW z=5TVaRmS2s?I{*e>*^6G5C%OqQkO6;I#lw^!bBeB^%&QgnAoeU&}2a4PDx0$$)O!f zI!D)&_KN)r#qYX)nKIBB4o}m&DLO%w6+a(P{PQS9Kk*tBrG+x!ZEn^^NTDHBH6dS> zwPRR#PlS(z`fQSJ8GR78?Ee`~F{Jwf)f zrDAjwD?+U}*k>UW;l=;3p+#>laH)YXnsDc2b4}Bazol}H+E(>FayYG)tC6MYW?3UL zOe@d7ikOWE-%tAuFy!(jEN@k+d@uofD8}~v@F(4GfFq7KSFaHL>@zIX!k|%L^G->9 z=%TDEABY@p>k=-$B;^14$NJQN^AO7C@gn;LRx^~!OJAb~{V&>CF-^N0<-Fe&)j&jd z?ZY)xoZe5o@=*m15Red87Y53G9QFKy(WVNs%eC<{!Omf#Zdo!P!FdI-i?k&25ri@U zZ_Dw9_l4ll7iK(!cfNkc{!!PW{((_uZH&=xT5nt2_j44hne}Tx!*OX`6=5_v?1&qt z30by`yD$tx)LW4sl}%ky_9l=SZ^69ZfK?+fn6+QRsZeWi1MqJR1r!C%#-#`eszzTu3`2{TbECv8!%WYznPPCiZd?M8Wsu`zkx5$I6d|`hwamJ-zZA zw<7k?ULqenrTq<9Q}aLs^?bs7EZ-Kb_zl2s;-0z9G3?$y5xxAK8;vAQoaDe_qa@-?Mx!2?PZ@RA#8*>+Q<57e!TUzB;13^I zabV)`zAw8Ozid zYSL~2mFRhlN5v>7uecV2Su1{ED8X(r+z6nn+LB^(2rL}N?43z5zO{ywsm}_&E-V-c zdAg*-qjGd(e>Gm28SXFQieA_fMPq7w3_dd>j*{;;Yp_9tW}}N~f(x1Z_1qJ-JH$_L zl!YoGC5&5BJ^U&%EUMEt3SL`0XGSmVKDE-ORV(+pO_FNaMXrN#Dy0Bvv6-`aJ(?cP z-C7@QuANdheyO8xPwx@9mvL_I^y4Eo1*g?u&ByuXWS5xJ&?ZJFKxu0HqV$?4 zl$GGdFi~=mgp%sL1R+(|L~I5R*kGSJQQq79<%PrClUeklPbE1vIr^ysO9utQTJ*r9 z`MYXGNCj6BVHdFsBO|^!szn5VlbotPIT*9QS2(KM;RS`@5! zD^*H+%%(GYj~B`1bM4fvpEFiShG|q7k-+iz^Cu#<)q{G!D*cb+m%Vf4Wk&u!38ofd z*;%`)BjF#g8z`5vVW2sDm0$vc;xRhixy9;1`+3Su zR3)h^7g9{O$ImYjJoQ+q6e-UYc~>@i3VoD3dw0W8&t|f;{X8yz&$91rgtpeuyC%Z8 z8u4?4@l+`|djmF|Z=egd?D<-J&&CJjc#|Nx6hyLn#UYaW17{Hu$S81!D>?71ij@6~ zIIS9;61vIJX*RBDy&tCauZ%JI`pTp7qJsM9{-l#AZ2Vr8{ZWw!{XiHlS>TXY3_=fP z;H=p@#<>^jwzm0}5zCgD!6#Od_Czq_?Kze0JIQxV_N zp75o^pOrq>Dzw|4RnXY#3VrtIHS;^>XH+$zSpxI8U?R`Xxsr;f>nr=e=vdZ?qMjWu z_)$jDOX4|ZsOjnlX1|D!^SY)?1wY-Y$Qmwu{VE9m^PS#B|4d}f^Nu1bvvaQH2VQa= znelku`X(F96aV-h{N(5#q%xl9K?mlW-TZ#N@=T_7{j844>$Z})_(|jYtVXcL$)ayO zhFs>ceSKe^|83&PvYX;iv~A71DL1rkcmBL87j+)fU_l_Dis`rR8ORxugBT04 zON#TjGji6iyexkDH#Zk;6Qj)&45k%*!-&z{2Gu^9oGBkE)Al=NRaokY!*iGXRBsnz zR!jGz$wrJ;($mSZD*0-3Voy|un&j}2-V6gFNK23U%Y~wY%vgCBIY7ur5Dbz%L~u}T z-`@I&NtxGmwJ2A}84dyB=Kq;4c7J;2{uo2w_(2E|!Y>r980|u!TkkZ)jP+L8LmiMy z*9!&G1}%6XkA_2R#qTZL&W$lY6Am{D9UKT5s?xN5j<W$% z_=l#t5!LvR$#@angE}O|%R`gCI9(HaSX&_8m@Jfn?v1fOX9@KyRh9co{ zZ&`~7iQA#OmzI3bpOvCEBZ5DR>X4*yG*+5ofPtmtApA;E(n6yfQAJvF*Xb&E&gIB$ zpUbEF={wpqbtAgvUCE)Gq8pz*KrSaBPUta7=s6MH3(ie9GcBc>SjfBP`z)quFm$h>J{rVrK=zRQF?~s<6 zss}mI&4gOTM z$Ef!HsQ@J|!nj>}JHblGI<4gPU6{r_3tyDIhM4XZvzPKTH&-z)lYdnmG@?&p4YZGS$_*5e3aEF+UclbJU@ZLxAr(kh=bfYxx|RuvoS43lMJ&{Fb;#OH z1=)OR4S_@%CKy>V&8rSjfb9j5prcY@-H*gl_avNmrr#q<4O^A%BF6*QrqG;ka=5>l z>_s!j{yLtLm1|b`G1)Cu6(Cwa(^XiX@SFz4sIKVhWFfxd{U-V*^D$0@vfz)XRw+D& z>5#2VmWxJHma;v2R!r!A4Xb#&?N%stiUjdV}upr#7$>u~tJzIk;|0`Fk_ zr^F|y+B4N;GSbx|!U<;D5 zOAuJ1v>b7@XO~mOG|jvUbit4m0u=(w0B&6$b1&4aKs%OwQKdip#U@$wOVur12g133 zEl_NNg_=o(og-yj#7a~MWYh3`uM3)GVQ(LBs;5MGBoT)n%YjnyI`MO;q+Y{1me%w> z_YS467dbxWxkucyMY13;}xE?d2#A=BLmf|%Ml30G!GF$3s2%RH% zjh(qrV9pgPA7VwY-LyKk(L~jWUDXv159((pQQ>OHpNz&hyeGK=u`(x;^2*JHrVe*>%vcrNkZ{#wd-Cs%|E$YwB# z*?KL_!a>0-z%B5@)fq<|-p*oU)7Ds(8u1OB$rYsVC_^WT%{Pbl`j6E68N%ghyU*g6 zX);_>=d~g8u0TF8E8E){iEmikW`t7_uQPuzikA@|!$d)L&0{6FDSFs0D(dxV{BtN? zN}^VVqQ*;Im>n>D#t>|p*C^Iew1`5jQi0r2Ooo?_P-b3H`|Lm5+PkQFw=%C7+=woM zl1^2XoWJObY%lKgM{ME2UkAnEs=8Em=fbmR9Cy0=yt9V1eo3=8&!pit4TA3U8 zX`A-g0um!kmBjKL87AaUO!IiL1zT2I;>T}1Jsh~7G$Jr)t>s<6fp6MS|4)(ipTF3@(&o}YF4$>*P5@5y+!6|ED8B-* zWK**jYLuI+m8UdewYe0LaSOwtLk^l}MS)5gKf?d{{{D|wiFRnp`AGezmE}lMl~Ez^ zhjE+>9A_~2M*KZ((`Z?$c0VX`+(k)A4llY^oK}iaF@(ns}B+^pRgj4e7& z!CH)0bNC=BBc;VAU>Iu>j78ALu}sKia#hvefWg{_0X3gK3lt`+;xiZmqxA}HWMF8` z{Zl_W;G?1^>wkDLs`%`;cIcP*K*giR;O|S8)g_SIr;>6X#+dIj3GZVoJ4auP;X0Cq z%xpVYlEvEF{qk;CQ5%a7J(x%!gOrP(T%`#Y>^?YoWhH*~s6fKLQ1d=c^Q~k@CK=2= zFF0*ixJW$dr&vPH;Z(!3V>qFXAsRc8o>& z$K+cNY^<)}Sj#NBLT;(d-ohH0+wuGnUIF))X`ld~CQ1&4k6x0y($P)W3KacvePp16 zBE86A`|1!m*Gew_UfGxkHsF_g-3zvKc6t=35&rG*SczRl)@tf{e+W>I`657#N&98$ zh2$UQ8pCv*19wM``A7(#@F#h-ml;szffHtxkFPmw|Ku_Lf90`vMfkq!|C7h2_5SK| z!wV1WJM#zCXh&eS!6N1Lse_c!&pKVBw>{AD&LLizpm$bR zuQ^LEnOy0a%k2DItt9sul#{1KgYxDc$3pr(Ynev0{~m^C+D%{>Zi9f80!T15 zkn{L4d+prBRT&#~rHw)|sZ#;mwy>fbI0?iJlEvr8=jX=z_bIC5v&3M^cttTwT}9@t zGQuQKeCIp`FTm-FU6^f&|SH4>u(`~`*>Ov`Z#x)>BtFw@_3lB8l{v$0*?I!QAn2|j9}bVR6DRg zY*7DKNE0J-?Eh~_Tm4BoDo<4HR-xN>D>BfN_xMs$=ncUabjz&2#%TTj;b<|@(lU!1 z?>1_J+6=J858EJ@`dPUnvBu!%^UD1Gq{@P_2K|-;WnV!Qu)7qLdLyvPhJ^E1>00@d$3C6= zL{q75VP+YpFvK*=jgPNedF6I1p2@!S7&C3AAWZdaq@)U|?##A6Oq#jDNyhzdmrV7ccSIf)!+1$3z7pE7V%Aa9H zkilTm>SvBqHuB`oSw&^MjNs+?O3o@QMo70s^zt|dT8Ro^JIR>q7ngBgD;z_A9B4X^<%tMrjUoIK4RVWU=Dq%- z@pC5X`^K)ge2x(5;>1o4z|)fg+UB>N=ZnE6Bj@+jeN!+Q6`t}&U!aBWW(EA1jOC6> zVkLODRyL9wIYnB3V1B?qV5<_0&DeYS@4kG5%&ORG2?~_gc(qS{;o+I9(o&yfFyfKP zTRC0pHmT2Qlp*&U&>7?+Q$3Dec@{y@;dL{H2PeM)UbU=G8f-hb60Hr5R364KuhrUERC* zVQa!uJ}da@?0CPPWy^fp*l`GLSACIWRi+UJx{EIdrKWu0WJqS`W{v%$K94zkeWu!8 zIFBuz3Y4q$R7ZZW=6g7tz}bZeZ$tQNS)|qLb8w^iJWQhiV*%7?9R;nwcxxdEq0wrvRKME~nEXC8rGjlQk07L;X+!jrhTlr5YdY1-Gl=eEpRt37b zSsB1$Ow_L!i`dJtG+Vy`{8gE5kB!?S+e6jJ5vOzw`^=UdjqZ%#!Ns9cp6gs|acuAV zl%Y8(!WG)mis#M83!szn)pJ2bG|3`g`j``b#aM6ruMuT`HId%h??peB z;E#{JNBxHmK9}OOjlThV68Ht=wD*Ueg8WC(HfR=9d4qyTLH~4qqkRH=37M7U)4zxB z787%C@1b+c8fp+yvh8o4e?oB5;8HSKNi^I5S418$*JGy1W>1X$Hv5ladBW0yO0@RF zPst!s-LY4mj9w#2qKUU(E%a_hHcQ?I%8hG!>kH!h?)^kMU5JgAfPzZt7}TwWg%LG5 zAz!AFl`gBqMA%o}>qSK&ZX_U1mT0(n4Eu&6&u_r9#>%_V2aI|(S)M1(@0Q<@nedW> zU6S{-7`JlYE|z^l4ZP)Teh8OhQngKFv9>0cy9j#9v@_W0RMY^eOXq;2zVfb9l=Wo! z!wNLY<@!Zp&-eqoGde`@3=lnzXOsV;pGQeovu}O_N?-g2R8I(qRl2IpD0$vW)eD2> zz1c4y`4Ldrcrv6!I%4ziXMBmD+Hb%+(~rLa&G@tZK1jpD!50zEEi1N<2avifGnbBtd2RH_^ph^v-qI2PX3x zORLabGms9tEciE|q#x^6@NNgY`T5MinzTDDFE~A)PACW~pT0k^QA4 z0^M#B{|gNXqv&P3hHKA0h50Y8ynTClSpK}`%PRaz$f6GRR6x~w@{_5N z^L;^%E{7Kl_JcR~^9GUoS?tu!fnfQGUq?#fp2usBDqhUDejdyz99C$?98S4_Ba3>; zT?FcBZ=%@!C6|9b{*?_MOb2SshnG<@#FRIMLOxzE4ubJvMz>n6ZKc~@Pz%MN*HvAw6Lb+HMm~hjHBFU z_-dJv%b`k# z7mY5R_sVHu@-J|EHsBbhYw{Za@J}Yb*9|XUx!1p(>s$wZdHk5)AXjj%<8;fa2RIAT ziCM1cQCzV)nSg7ig#sT&xX}z3+zjms1};%3Kg#u@^t(KKw%g~_{<=waMB>3L?z9D- zJp83*WqJV7jGl%8D-QXdjwDWxq3T%?ua*mPMl~?Wx({;?PU%Ns`q{Y8KJQg;=8GlK zQYAfX=p%DwE5CWQBl+{yamruA>2$<@3Z;?sCmQD;!)QaVNt@L-LxN_HV5zeu2YYDr zshh%R8L$7-O?l3Kn%a#l$m{2ZOAjl9G(7LrZrBM{Jh^>`+M=Oxu6~usTgw{atw9yO z@PRAe{`8!ax#fJd+PT7ENhRvqsE0u<(LL)_T=3JQV=iXa-QxccO%;r(W$_;Nyyi98 zSFEicD;*5yX;{JteWgU>FCBE2{X9)*EXy7REs#6iAmmjsixa!Jd9kKZK6ASbjEb^0 z8AhoT#%AV&n3qfXl3Pk>g~F%;L)=dLJ5GCRL#uWe>vc0lakpl9T!kip7UPei-ADZa ztTIk)j%%sF@=>JzL)roTWJ6y#GymFx5W((_2-8MK`y*KAO4cz^3mi*!@(3iQR~Vss z?_s671G*$FI9A6*hn&Y7@&RZ)S{-0dz`3k_UThV&C1HOJbuymhp~&DqSq3qz zmJgB**y|Ni!5KN;FH0*&!-FQ}by;pqxx$kYwH|K|Fm7sCe_0r=vGi?qAYQA9qfLcZ zXgrDSqr06Dx2%i7obg(|&=>JBGAlz)>B5{^HBE2HXp3{KU=`4n0f91*w{ez2dTx|( z*N=^QF%w*sHkWbt+f{W(^jh)-UX*DsI;OP82;`mX^s&$$xp}@R@a=xY8>||iVoe1X zWoCasfPIib+PBu} z!O0D@h0OZCKvrqh(~6d3{}e`f*ZVx|_bnV^X_w>-7%vyRzwYg5T7&&E0yLS>RjFK( z8;PmQ?e!dzZ$N@&-6g2i98R(yTx$lM&irHIWu-M~>Ct(j^WP4Msp50k*$w*%q^$OE zZErmt%xDx1=-mr?;vfFvZ?9+n(%8)Q6~FP=;ZFI>Q$v~PG{-55A?U29@EyI(h^X-D zx%q7)x3`j|LMX`+5=Cco8m;<^%Y;}{ztjIBoD7AE!7$M!K80RR2SN74x(*QAwY=Ow z6~gyl?U(hBZ_e?JWI7OSA;r?|6RX!5dd1gd0F=4dT6XgV(fMV}4iA`XO}7Ve+M6!w~B`mVoQTu(v5^xKjRDVtsCQe59Sfp z*KhH?%N5@A`da`todiRiN;%0+`AT$Y=*!CdEQL5oqA0P&ebtHUT&2hTx6H={E4Xlv zP45pnG;C!x(DmVp;{$%?Wl?SkKfxnBbYs3YBV#HD5}t}<)V@I4vhL&59+1+-9{gZK ztiJ57{eg8#1s)-!r2arJ^4-qCYVrgOr zq2BRHV-f@ArbhbR2{bp5Gd1v=l0%dgBp$v#i4>H_)5%ZhJvLoE=^vX5O#U<~XfKZJ z`?|-;Y5b(^Ib{Ufn-YUK;msGd3G~3}(yE@I)u04v#%w0eL3`(h5br=EsSn;wYplKR zTzg8~HQhh_$_#e8Py34H zX8e{ek#HD!Y<<$|nPM`ifvS9u`(#SfZ-C}fsLJb^FZx(U&&cwTz~Q?!Kquh_nVGL@ zn%K$pgz_b)tka3%^*U>ChKe?x!x*Qt5+{gnni?A$nn?gDr8bh$L^nd~(-s8@ai&Q1}{ITJ z(g+FnK=`zw5q#WSxk;r8J&5YLbQ=bvrZyjZ&k{f9|L4C_SKwWDps#J$8cNHO`9@HW zj6!s)P3-ernnCViLhi^y?v>j|OhboaxZQ>F>F`_5cZ20_odkP~c!*L*yDkqg^ckE? zJ`O>$_w!NW3$y4#BjO@*f%nb&jHos#5kdO9_Rj?Qz3=YhNvigXT&s7shF7T}f{Kjl z10n1`#*HN7H$gl`lq=LT6CxZG^$+w|6*E{0_Lrn6RHFx=ob5|lo~5W?-yp~;w?Jn6 zYDq(Ew!z1ATLHInRDU{eK=V2UZx7}Y2If}xWWQN@lM#POQ+RXHf0+XPJ4>JJ`+v>O zk~Q}{wqTqTH8o;^1Q8F0bLL;Q1hjsavTE76k5`j&uc&%XbBgDvm8o*&tq>+;`BEPI z&z^eE_1*E`fY_ts4N2*w&6)jQm+!oz*G_P$%`2^(w$9yO^oPT-j-2cc$g>3+;HinA z*o;2-H-P6WO3M6};N_6|W8fY#79q3s% zT#=fd)k!_h0yqxV-i>GK_-pL4bKV$hK}|JUi-=$(lc^t+3l*O7jLNK*Gd!mOv8>}1 zZ<$n{q?po=)ULFTD3?^|>JKHRW<#MDFLA-j@j&Y-G33F!x^4?IQt=6~aigdPN4mz% zRSgTDP@`*X?bwtMBms4&_AEqGh~_o7AwM|=a+1g)TboJG|0XQm;xL~gF3wG^<-7}K%GMJ2pR{XN_12+Gmja!7YlZBh;8?s{CP z=&de*tFC%TuG~cVgn9X7I##TH$A0J4J$ILwqRt#Rf7s-A<9+Vb9g0^H+-qwFo=&I` zlP~2J*?i3HeK}7Do%}A&-w;3N7}vJJP2_ zo%@g`(9dGb*yH<9*HoY6{12>lhoPLgTp_R8T!AS=e}`E^cuI+JC}Jn&8n*-J^~e0 zH*c0+LGVQ_yEmTpcygmcuVeWSawA>OKDM``A|~_27(baP*miuH(Q%=9D<@}@Q~)8I zQm}1&!|Ci)C++#Sl)&%@6{vh`fT9c13z{?>oD(sLLWb7}wmtLbzr#-(@pJgc*gYLp z&vMZ4wXq$-kv_32k#N|8;Y3Bxq`^pFqfQ4R@+fXN4LV!#J~`#JFbaIt{FHQogP`ciF&*zvPMaS>*iz^)MOk$uJlT zzBP77a!qE`b8BAwS4sMW&0vynd?EW9tWijslEWPrdS_aH@%dzR2l}ftPz~$F)s<>o zm)%_D-!5~KTwmLLjN5-_aVunvSgZ_Z7L}`43 zy6Pr%0+phHUxTPra4Hz6+%D@t?c?RljZt@>KHAYw=`vGmj-_v+2zuq#D{<1B@fTEP zoZU|G@hs-sbs9qM$u?ii$6}nG#&vJ|UPLu_r(6S)H7YQ(#d4&dE;#D)XJe*&L< zfK-c{m-7ipdTHbwHrr+;GQ?FXAcQ-M z>QML^l9UxU>e0}<+}dJ~-Enp-zw2ZYpYE3O1AGx!;NodgbS4olO^&)K!~FFVI1YsE60Ol1F(a3QNta?4Cux5U{>ex&HC$deog}9 zJl8mb3u}=%aIOOl6o1jP2+s}Bo_Vu*k!Gl^Quuy`afQWmbNV=!d(Ps0d*$+fft8W- zs$|XY4UHvW;(l?GFOhTSr^nYQYS~}JU~^H0+bYdB|l%=7*bOLwl`*dWsvogQ{9blCuRjCilpHCZw<*^>;8+K@Z-cB zQa7DJPg#EgZEhwj^z{MbU|}}hAJbzZG|)^0NoPBxY)UZ%jW9Ea4iDn!+Agcv;%Wi& zN$nGwJw?d1Vw5&g^*$pfapn6k#rEpKxRpY&-++_^a;-GP^80Am!{*mr@DCSm!cT<2`B*c%a!Q$X}J)qCW=kOjY_;AbH`)X0L*Zl&~R%jGlbAvN< zlFJ60{pG%5Ov1a{?q))q!=tf5|2U4mNFHyzOSUev%1m2QPEc+PrPtR>iFr7cjhu~9 zW;Rp|!+mx1r=iurn}Z&PTh!M7nS!wY$0&s3Q%jtbLwku>OX>J?dy4Xw#vJ*S5Qr17 zR;&J-)EX!8HlA~T0XwJZ9o46`ln%P;?tiv@@ILJu^@5~U6*oUM4hAOt`gReWdX&WG z%re2mxhQI@;vGS{lr%!HBMXmh8z3(CMcgkKw^mHTpi(yNlN`jr$T`#8xERERrqe_{z)#Ha=>#OGvEH}+eM z#XBqMLw@-#H`+UlP0k2%Fy>_^#6U=(s4 z*I9RjhGvF&n>q7@N4=12@6=!ARaJSBu@~#GlrUm& zg@XSENOV7}fA}`6BEIWf40B!)TkgvYr+YbzD6 zc3$rks9gu6=JhYn_0ywLxD|!K@4CrPigjNy@}6(csKiYv8Kq-Pyp-oHG`w?(cMx5 z4)lz}de$xT%Hl^i;$>EZui{=ilku&}p=)%gBa!uSH1x&D{1Dy-UZ8rSG(<(BiU!P; zm2`{?f0di<(Saawe9!8KOJ9dI)weodtxw-q_Dp}oDb7a*h4)!lch=78%O)bZW!_$F zOe*R<5gzGTTDB2wrAG+V$8o+8P?2AR1lYOvLh#mN;y9_s##=dy@tadL3Vh!8o@ik| zZ7^%Nhp?NYO!2~X!@IS6cVk|5_Dmq&g-GWm^;35j6~zL@He(;f*5s$ZZf+g1>2O2* z^aCB8W}68rjl6dgDvxeZaWX5lrWmxQq!n(AdM5syLWt6r$h~yeU^hKsgRb6FSD7@z zaxGPe3$ziW8%R$c%w=vhb36JlMn~}Y7V2}9PWh^Lkq|P!TolP(Us8?CX9IGc0B#9m zYm#5s@^yB65gyRsozBARG!<8}0D!A5Em8_fi~xy|wY_wesd9onQB6z#9+kPQwAYFX z@K%ae6@z>h&k}xTIK}Hx_auz}dMcUWqOr9Zj6+w>ueYFS-cnd=%wZijaPKS1+9cv~ z;V{H_POT9cA^N~OM?t-su7|giDjsh@E=>cNtOz|^5EU*cwvNmS=^=so+L62RTt*lN zx*M>X7s!5?3KwIuJX;=}Wv}7tBkVHAM>%KuG z5<6E%y;nMJ0&wD#35(+Kl<~Q{vL_I&K$?VEF@Wznl^aL~Z=;UyMJoN@;>|xsNPO_M z1;-D+0h3RD17_?~vh*d>aMZa5z*`c@@zO3NQVs#pZy2~m(z-fjb=r#q76sRS0~U$` zPU%V+G(?AcnO{qA)rW-eCYOOJ06t(|w&)8FxyjJoI-51m1;ZZIa7%b2Tr?FxMhYDO z1gd-t$bMLrcIf-#7e^Uyd{=~PZ9UMOhdBtEhwmE8iwy0NKkU4qFU+hRYg3)`d}(Nv$9Iqtb+#@99T6Y?0SkK#HZ{e7Fm+EL_O%hlF(=kHP zSuc6JL-o5Z+D(08-QQ%;G_uq#&GdO$=Gb%`3?!y%rAo#YCEiKCCbxC;DBk7u_($iV z#$qGzYgc2exk_{2rwCpA}p9_7$#FNhahOGzx~MAv^~#l(^s z*o*5y>-UabuHI(Zeow(|x7u{_B1SmgZ8x zbvwMC_Q$73m+wJo^Kwz0Q+lhukjT(a>n2-+R*j25` zM`g9~HpwjK?%TbAk0s}gH-E4bdBR-zCN?zYF|)Tf%#|a~@<1l3Hcd-GTqWt6f!9HV zam+k%FFAYwx5N$~*iUXF#Kz6#;+Iq6!k}h`BM5n#DwF}F)%{B%>~Z(an@MOjJbckG zi&cQZaTNNv>M%ovoF}gb!?3kL}x$fiC*Tbq`*WchX7^tC|$17%UbaQbGIL`rM^I8f`S;{y5Qcq@~yA$OqDDco6dth zKc24Rma?o%NG?rWpk3g>+T@bB*02NSWf_6C2XlwUlbT^dd|z8xR#en_^XIE40B7t8Rh(l zt)-Ul!dB+{FFi7nqf$(@O0TpqhPHw7b?McdDQ$6nnNk~DQ|&p3kQL^)0Pg>;ytSku z-KVR1Ysy{b&JYcjdu(FG z000{%)*o=gaDe4@Y(u=@sxIzOg)2uwzZ~)jgWa}%fKG0p{>GvFN!AMj7gLR>ef6+W zYe@%hYsD5HFD>sgAff6rFW)xnnOb#eTChA%((l#}q?G;=LhjkX`;I2?-CjpO8SYi~ zkW1V+8Mj!-8$| zd*FJH^K9M0{;6nC>fKG!R2|{K7+}BW>mZXKcoJCB%^}l8fRgx0e;&o?M9Cc zQpG_D@P>0UIiIi)c0OKa*ATzc+ed_&FHJM=X4>vh<5Q+rCCps94Erf9PGF4+Yp^`y z&`a|wx5d3YZP6ej1#}a|;HV;$0ajU=4+g-Jt>QcyDn4t>F|z>e zBT+?9Mqc%a?5TV&4}jJNWGnICbM#ULF4pW8%rvSsK}YxJ`Oflb(BwNJbiMP`1)))9 z8d#O%8z8YI{jedp{dPcb_;y?fS_ly_89};;yvs zRQ~H^eS4)A_i1>(NO?dRNHCRKL)x=?kJfpxCM3TBFd0LAV11W*Sy?6Hb6_K9sx^Cl zAaRHI1Y$NGYxB7_N$+B2(YQI`_I)y{61pXMH7}&@)sJMFJZV{+lXBaW8+2}YQwC+> zJrE=12yz{WRwr@}nOHo)3glt|{vY<A>lO`Gq%97`H57uj6e#W*Jh(%FQY1id zr&uWtAwZF0CAbv{PH`>J;toZN7k7HH_cz8l_nvdd{(lem@w{YY3?3MSne|(1&AH}m zcnw`%RNX1gT$39DPe;-%2Usdw~KD`UiW(xr!T%Q-^Ru%xD(uRRP8@gyp;BF(XpM9H&br|bdH@Z z9nZ!Fgn{}^VX>ZZ(s-EFE|D3i$H|J8H^zU|1GhHkE)@vX6VDvkjdizvZXsB&pLmLr zji>#OaoFU=PB8c|2H z!rUz39}fi(b=3G*cwlL;^ieKgfA4dD)4?UR^|`Or#tVuyC@OLI-G*B}ad`Mf4my=u zmEWQ5ys?y-g~>5Zjhq*4VNhT9Zwd8lq?mq{?@NB{Ewku51KI#hXrM4WH<>DegFWLf zAUFOr(M^)$GRD@MOwCZL=n~x>j=Me4sjQ>2OXegz(joX#$AxHSh$oIO$rNY|C?$4*Rb**J(hi6ma|G$gK0dum;zRb z{U+{L-j0m!HP%L_5%&x_4?uYV{PZJ4ld?voHU?yQjDhI z2^5`UT_i6rOOk5IZYfU2Ahq|3j?J^+MHW4y;AuFkM92HUW~Mb;hUhv8Zn4)5H~;hW z{iE-Eky|Unt6cXJ1KSI-bs1w5?V(IU>rXPb1ze1M)&I9X{r_2?n!Z)Atn3@#);IC` zA0J4jH|)a`PmBLBI}7E<+Ty#5`wyTCRPui=P$S*V&aVm9Ip>Yd)ts&}<#_7m@kM~l z`1pfT?9@_$+=Hc;(`&`yAG0f43JHGh>V;MaedtduKDKs`13r3FM+*fgYXLCsh?3z! zD(q$}bD2_#yJJ7QKYrk)vwhm@_@3!SSPH2MBnk*uky^mgTUgrj_Sc{COs-CQn*0Ev zsfr(TX-!OFu9jx6!8kgqvRIx;I5<{buMSqj!YG(gRKW$fJ$`z!`5u>hozpmqO(}1t;}#?N%R~&n7wWh|P57O1 zk?-cfQ`_?#R!htp4N`tTD#ony(sQqi*2*tt@=BJ4ZJiOQ=HJ6y`-!?pAzkGSSCxS= z!x>s{Uo+^eW^aiXty4Rm_b{f|kg*0o9GNFyly@*HdNsv-0GmU+p$)+?k|3#l*`WC& zpH7ha<;Dqo-85TP-kXS=)HP9=nhEWk>skCwg?q&70f`s+^n4(rn|bi5?_;LML@hzB>tX3mxVwNS!&L=fEUH8}T<^?9}=F1)LYDvCUeBe!-NA z-JpZ75Mp2WgV4dBOU`>SEvYRYj$;7PK>3tKQwp+dwq_P<-cE%11mj_hFboS{c0)dZ*HH`(!n+{_xkb z!9p|(%fc96b;>muGETeIYMpUa;I1!KVk9+Mw=_6O5rUt_B>CEe@}Jj@OY)!al2z+; zZ3(VDd4wBFpx=<5^}QzX?KOeRT3dJqv+Oke+^;KteMM5d;?~{Z<&aHYmo|w~+6OOU zWpv!)kUo0!0sZ4Q;S=?53ObHK?{jDf#^>X8XWi+#h?bZQ9|V)}UnD7B@8u_UD42P_ zHI5(pnxLXkv#NBHcQe$)Q%D0t~v2Z^0Vj{0b=pM88gWoI%Anh?2A}k^I$oWbkO+Ta~iTpPxXMGiFPUqlHzD&h+QD zbjqPrpP#1DSIUYX(|B^4ZHCDtj|xpsWK6!w}*Ic+NcC zG5lMfhG){`VOeRJh!^oT-)^Ceiq3%QU@$v-hCYGbN?_P>o8o?};=0+NuO9PN%$mi( z6Kog=#2Td`x*ls??f{Uc2n!IOsy5Adg*!eI>Ui{;cdVJi%_;JWk?44lP=Y`nb5Equ{AZ{FJvk1X4BeR3MBP>%dM=uH z@6%C|pudYWVB;s@ci3!9Y)sxi0A3yzhh=4#Zz@M!q>#Ys)C@Ter(}3;YL~P&6Dce? z&QR{P6kjp?17?u8PpAED-gfDOCpnf z|BSNuL;B6Y#(Wl@){|R&3j~sfx!ti(q$*lT(E27N`EIZ5>0YCgy@J{>g~;P*;)1Im zlxy0zqz!=OUvHhoRyZ@clHf>G0^|-cEBgsQ?P)((({fA5) z9aH{RRc9sMAvrk!QK)-)Q`}yoVV=N&VaW?|uqAt6XdUWHiV$7@i?B;&eW^I#f9U<+ z{RPNR^Uo-RU5#W4j%AEHzLlNJ^cqq(Ikon6M!S1`;*iZUh{At4uWfLfuM2*EjRhh6 zC!`q>E-p3r!}tpGU80CUT*h?vM8FEE$N0v|1k^in-?mNvBhYfgx5K;+=PP=#+N{@c zDoOqUwX^>)1ne>YC*Z!zX+GRG>um_?>_l^QO0WWC?to^8ECdsR8wkL)Q*5bH3L52a zQhoF_@#&_yg#6dI#tUq&Fcy!dCv%_Tz}X1g>Ci6yHGCxnkh^#=hT*h9NyUGh7-WBA zk?CbDGUs8?+|c|p!xnH_M*E*hw6D0~-G(LPyfWp_%Zj%D%O7S1jO;}&cwcHX@r#uY zMo|xHL6V<~J?5oI9cso#|CCmW6$jNR@|-O*@^3mN4U}e z0Knr>0s4x`{dLMGt9MbQo&1vzospFN0a|j|q{RuNwhfS~2w*uT(aoI$mf8Q_3;&yf zrp#mF$@Dd@ZxT}6eD#cHkV3P6l}%utjN)8$jr?Dov@8C_zovc*efs}A*c;T3QW>A; zqNfzccj%XQF-8Rn1T(vkL#{0q-yGJgxxhLrM%|EbH>d*F;QdmpjrCD6G_%g}^pA2x~n+Udqo2rI9X1jk+*-x37vfA zYkABRN+5%c9wZz_)>)eMlHS&oc%tY6&g1!!isHfcSZObme3*^)FH0Ier@eTZZnp|L zcWQpru`psFpYVv!YpoP<q(K~?3X65+J43r~;Arb&W`^Eg zqKHxyf%v1=m)1RC_=n_y;&nJ8Uj?|4T!wFrFX)F-adp#|Lf>#D?BaYO-g7egGuxQ1 zqE^^N`M$DSO4uxv?StLbH1H8z1^j9_ z3JVytxPDYFix-X+LZ9y7l@pm*v5;ePFdOM+FxldiX)IhZYyvlhIt|G1L;w(ZY}me! z`1OwSzu7>4@(KbkE7JV#A?1iuUZIcsIT*e?#~@CFI)Eng+?2W3Wlg$$pu{wDWaXQW za7?XAkTk~u!(qa;{q@-3o`~GhGZH`*f%}DE6WERgut; zZEE5~r2rluc>()CYjR5BBjvszSb5zZvvC1am^ecJUlxo7|5MQXf8Wpu{4aU;|M6)k zluS%b&l(?5NLc9Exn?qb)Y_hX5YSLxNhysTWF}nvQN)TL6n-0pQX-cWLv)%$#ICpv9bFjGhr{5 z(Z23Bzi=4s&CctdE#E+DH{p}8N*b|YjrBFV-Sg$|ruQamRdebGyLkL)-b6zcUp)o0+=h4!#88;~%70h*!j2)XwS66y zD*}>^&G{_g9su&c_~IJ9wUD51DDR`}aW=t(om(EN(s5w;Fkc!a{FSsZxb5V4>@>?x z|B(-rQzpGPGR>I1++{+sDMd+nQU52QaXL@E#-wK*$pO|VC9);w8`G=P;)9<%H>E-~ zRV?4$79X@O@Urw(xFUQ*A%%mG(1`=4JCYf*U79fu5w2i~W~%59pV2peRr1~x8(>XI z8Q`i-uvJOLV0)f1WI;AeoA=c;d?B5Aj`BHJHc{6#Jat@Jd~8q(%MkEU(P!ttD70&X zYhqKZ7P#CUH1YBai|WTTT@GkuzsR>XC|^}Ft7 zA(+uKLNfkIP}cx}O+I$xZnwpKSIv>*QX|9u>HLD6n$q&UbV>MoEw>L~{+wCSE$P9D zciDLYJ%%-U;D|%vMD+lFz7l4TOO&jx@%sFDD1>5wC_Y~BjT;_CFfx(FQvEN$eDY#! z-rxI-=9MRnK$KATzs8M@}%_-9f8s7ed@NgG?+=RBuknB6s&rmeg@Aw zMd-PtUfeZoHAb4qjWkhWW(BGkixl_}gb>`4Z&#j8*d3-8?^Wy-$#~g32BPRmHmODn zP%0YFdgbV|Lg7Rfaq5iPiLb?`IZ9k*{3-;w63!z>*1(W#nbo9-TcrTl$;;))2vskg z3wI-bwb$nT=F826(Wj?+lp`2DpaB90RqJ$Fcp?56lae%7YyA22#cUdD|IbCVL+^5Qg1valmz zCeM%pyQAX47`0v=)#D_SH%^_OU+)gbGcZi-E0XOq<|O9^dITn41Z8|Z8D9EljP5*a zBSZVf->MU?lw?v9TXULa`BSvsc_R>~T=sm#30^vfic*_}v}u8|^#!O1f_ziE3bqDb zxf*V@_vG3t{}McoY2f(H|SO z63W;?lVx&HDRLkdF5L;+D0aopxzdpIh+kgv6blkgGLc?wBT4P}w0}|v*AI>0e%0X} z!4UoNLEtaI@g{<%v^^q*Ac}OUAW*Z%)E|qUlP%!SnlJ~~x5mzX<7qaVg<7sj^RapS zw$DPqG@eX9{%*Uon|ckvMyNrr%Gx7I|Is{eg;%%!uCtS1W6Cpj#87HNgymiAgQs=L z@^MB`n`9+$7uUz^^I+3{C3#2u+g7t}07Tc}W?hCCbJ>XKfX0B9LH4|l(~?)R&?DWSdj8RV9cvo0f z5Mg3{*1_k3rg3~e6<-(bDj(zjEFzjMi+mw8P5`{d}~hEV@H&DZ24PUFdA zwkMFykp{6c#mjvu^z+rKYk=ZAYJo{_@U?{jZuFmBj)SdEjS{Xo4JJAEdsZ@|#q~37 zKa?}dp{WE!9&;DEX$IUmkkU}OnQ)};&c!3&uNNx`GK=hoZ`g!f3{0*RL#Wffy(a%y zi8>{ml|(I~J%Wu@!$a|Yhh0xfxPP6?p`ZIX^_-Iq{MnY*XE+MC?xeFX=v7#yROEHU z6^~L3m&U;yu9N<~>4FeFzZqj8GW>*ewomnX?5jipKnA$s8R}7gZoZ;fSU-&@SG(zc z(^xftsDAv(p0i5}4nc%gwrg(Ohl(WxN;Po;yBfk5BKRU5Q|BA;@cK!Ibiz`HWc>y@ z(W(jH=P|{tUKbZZ1rb8jacVla1|0b*j|2FnOQKQpU^8c9cXV)PErH=N^YXK`>o=(} zU(=HsbQ*PBXTQjMQWH@srKGdwG4>BHB1z#Ci3BPVmT~?yr5E?9^NZ1`J^hDo48%Z4 zitra>6uFoH5#t2b)Av%i8~%@jKc;-2cwWH{{S^I1Jvg(8h^v;VDnSDW8drC}NopSb2M<5qk1ry5R^`5%p78coWX?0w_Afw-s=UU}^Rs(0Z5+KC z(+i-Rp(fj=e4fNM7Vtr*@YdT;qW)L2(7sDS_F3XOe0miIwgQrWjc1+LbU+^nqWQa^ zDQB=D?Z!&J?1ku>N#?_9Em#2omo=%)eL9z;_kKQ z)YW6SlTNWx?R)hHL->fsb$YKVqIqk1YNBy6lC-{8EnVgpjck0t%KpSKwYcIVLP8CJ z`M&`7SB=OiwWiSZT0C(;Eg;s#P&;UHLY`2`p|%xwA&g(DqVv=|^L=i3zBK$llH0yp;$Np^)L;Y&5ucXX%y_5#Xaks5K zN8PakWSD;bp%-S61hRbgVq7mg4~PWem<8kv-eU6hy;WS&_@&G17FGT1QcZ=)4#{+CSh$oB!?idq? z?VS2wJH3w3-BQRT1h!GE5|h!LSv+R1PQLvhN`HBwV|(b}M{uiX2T{wq5BKbW_3e{( z$$>$__&i)oh`a5h<4U2!Gk?c!59sWQio_0cKU+n|8lp=XWKE}3mpz3(1d;;~9^L$w zG|%zUoQtvhq7zs|%UkR8Z5N9oRa2w3(#;z7r6l|jcDyS7Mr$#Gy>-3s8if4aMQ4cUBLF$QW*zh$zZjtkRLJnBDv{5Czi*PXtFGM`W(hcU9~M z%AR8J&8b_PFWE#x&WE13%Ri&I)yk(h>~Bb7Y+%2%R@|KHc9gPs=4$wey?uwT-zxWV z;_EQJN47La8Fu*jG@+tL!RJkezODS_-OsP~t?Ii}V}kLs>iz<1Orq9LL5zDEvAKO>%_GE6#OAQn>C4vxQ3uHUlHT6 z;GjGs(a$$^1Qahm?EPZf4}ARbz7lB~I3!{q8Odwm^hBkBW=3hXxbD}@lxp4v9{QWua>f9~ zd}>@l3mdg4VKdUixeYAF17a-Wb6)p>d>gO5f%pXq;% ziibb?N|p8O+KmJW!%6`w2$Ari|tFs-3}^%Wl}>7g}#Blm|NM|;Dj=X znOgi?Orl%ql{&8=%yvjt{ORYHl@zC)Iu6kBQd6zz@z9Gu-p;jt<4A0ujNtAMW~sPN z5pFx;HHq7c>$!xU-|tHZi(oU5#l=Ekk(OkWO#HjhwQmmOvTd^$C#)A65Bv6>F7MVo zBpTC^_AR#k7iB|_mD6H5m6aHCQn0r%ltiRx)-+BMtlhO;JT=lUUd;bu>-`o!ijFzv z={dfp$MHVGzxDDUV&AKnN+TC4oHAQ^q;hDMB~*KU?2YsOjsGG1&Wna9P>ilRO=SZ! zx>{M&%yPp{)j2M@q6MGmd#%-!S|F%G6|})tEiDp zd#pI8nu|2s@7(y{TIQUwZO^EX9Z^ zfoovfwjN#!bvmu5Sj6k6(E>`^iN`j+MsQJ*yMVSKZ4k2&pdfh~Z+!Y8w^o$r%khpj z&CR^1wPrRjg{{Zm7a`c=3b~5SRyunBs^FK)F=;8RFgZfjNN^8aB=d-@1u(@HY=}esmY`ou^ z8r;PCzBbl+LsgpQjh~@rCYD1Lj&DI}>#bP!e{f(rzici@gI)Wj!&VkX+S=dD7)&Ye(dfgap$S=U?WNzd^|&SUqJkXA3Vn|m%aHblXS=FV@O_drk_x-5MhVs z1%_=v6qQFM`lXy4H1s09KjoImZ$y7O_Vd;I`lf$*>a2l(dapv!&9=Y`f|1Z!FKqO9 zaOkQ4(^WV*{un7CvoOVdP&^}W{7c@L?V}q_n4sGygXi#F@{}qd2PPyh%f_?#^xFpK zO~(0vvez2xbMcu)cTlwq4#U|xvnjrczJ{L!W2#u1yL^s1X*RrgN{|0$6I4IQPq|l$ zAs#)X^FtZ%B*o1%lbb2k>YcZc&T5%F*W;2L|X=z5N@alDDBy+i8Bu4uvjA`p6B^p zYaOKu`>J)Cv?XJE-h--no;N`fTB@R_T^3n5naE>jG}s_(7GXj>$Xzl%Zf0amE35x? zUVG3IF4iGhwCn%Qndr~m92o5nDw9qRW9x$qiN}@UBA*;W#w_lN`6R@PDMKF@6H&0W%OxofVjYDfCEuUB zuS_AdIfUvCT4;3CN3G69n>5^D@GhsCS?}PQ;gjjC(i`hEt)*zn+hKetg90~s9==2@`z6=64n_}~ zL%-KTT>ieLpaIW@*t#J-xUP6Fz6=`!$w61J3-@0Dy8`caK}c;m2&k=*xPLOg0*?Wr zL{Pd~kOcgWOD}%+grpL(hmr2?YsfRJnf5_wib2CZYI;K#^<2uGv}sPAqn!DJPX&M& zV`$QsF$?2Lis_%B9}}3?RPvkafEuk-bH5;&A(=6*R>gnHQLqZEtMbx0&H2{XINOq1 z=42*j%aUz61Avi?r*|gKqrVz57Mom2UF}L}RF!L()l3H=2>Y{#6V$D=XPxJ-{G&<| zYFXseguZ)X(B7VX9DWMf_B!Oqz__t$!w>bqv2-As}wQ=%}$sU!`E>*+W}MC3NH%BREV_vlQVk4)KQGW_Rcyjd&)IC&Ian!;qG9oI zYX3|`n&czmD&k*1ZX+C*j+-1BNXrZevQ2TB`yH5hHHjkX=6wpRd$PDr{LukmZ=cmZ zUfIE#-ziW2d~c*c8s@06@D(YrxW%YbhS+AO=kUno2szN~@3^|l`Ro~J&@i!6;fdrT zldPVtYU)U9)6WqZ66+cff>)wUDl56F0EYLFSR zv2o`1@@{WVEIV!_dU@F)+KnE%&cmN#lS1@TR!c=G+}|~4VOVPLM_cmP=m7n%y*VC& zDwlz?uB|RHGP>pu`&tdlhN|yQK$b{6m3=FzCbI{?Z6;@x%N(>~pu|ZnXp7CgCPUj$ z?#Zz+fC($5_(Vz=>rarZKub3K(P(u+l7gsQ?XY7Im>WL3HK#2D7H7v=njIXKRBZa6 z^L6dN=WBY;vj2U)PP@-6>f6;Y?eBu^=$WrHHkoquJy(IR^HI`5tx!6>DOK=EA{Mu# z@K~b3TT01{t}oR~^?xqObQs^tfA|Fbw2Su`l1g@D?na97kd%C!bXs}AyIH#MOk+;D zC{3_~PTr%?)kY{HPg%?08oc3JmDKC@gSo0MoZ+ELTlmi5thX`q^)PiDI#W$`C2s>EH=Nm-FH0%p+0mmha!wRiN&M?SaPUuxH*E3g7MGI;>seO}nM3F>FT-#MYkg3GmYVadUsErJO~s%l;=mu)E7Z#$1|MWiAtu1+>_%GqFUtA z7e(u<0YRFhnJ#g|dW|W@jk+hRB7|bv_-;M{JcSdztPH?k7Rf6&_UaQ&JJ5?IGqXUt zS=9>gkM7Y~rd6D9VVwe0u^$d0x@w^!$$rfPdqe*ocv3dtHe@l*7}D1f7L`~vqHWV5?H47$5 zkl9c7-@)6q0{PV+sMqQM(zsmO8$qtPt9N1JrET9}ZjA=9YRPPU=G;Q_-A+X@To!D_ z4Dy@kAP&al3!V$}t)kqjy0@O(gJZKwrb=cGo!mfy{N(rW@wPe5(IB+T%KDo_q@5q!OG_SL-H z)RTB!;^jH$EN`q2#QtM>Z7M4q7Je#`b1r&08Qtu+FjO$nAH?QS`nv{^(zNC3uA!?U zjAPN!F}ALJq&}$Ev5nXijN*MJ3xR7b$m0QRUsYS-J?WFy-`hrHiC5 z4sQ~dq@1u{OG+Shtr|SK7yM-YoiDh6$g!|SqKpquwR~k)<{fR*ER4eILBYBiO3M5tdv&E!F?M zL_Xc(Ra(;wcY4N!IYEEv1i7qt|Ae8=P(HJQh zz9!K+M6)Ig3Q*K!z3ia=(FVt5w4l1sj_FE@FlgBDvvRkhkBT>w^Wrc$;%2M!C9j5r z1210WELNhOCIk0d#Ox0yM9Y5j3F;U}^e`VOqDudn5!|DgdSEYBe@V%m0?G4_ z2a;JZ4DTv~P1dwhC>t;8auE@3SnFTn42$qF{{re+aJuz|Lj6Qf(JekQ_-xEY->{>m zroowY$94wuJ@*;cu`&k<@yFGseYPfsJfYgdXXHF5ypEoOly@NXXroV<#%cCY? zjvsy3Wiw+Yw7L9Fa>N zk4K;_ypMMHD)HOK6RyBU)9WVxCfkkMmlhDyX}8nkCwhLFm9R@PLLE4*Cd&c@bhOuN7cFOrYY|e0vN%1GGz$_VKh1b)Ik3J~JySU=XsF4`Py*AFmgP{; zEcGt{&GmqW`G9Z10A@~7NNX9;(h7H7VqF_;$1M1?C(51pWWnu8afbkgc$TfQ%6@!J zUe5YyN&kmh(_fFq%-9YL_c)3h_(}v*NS2F3%-1qiqeIV-sB2DYRojh}h(y>d{@%9w zrGn>^w!ud=c|$Z?l=W)m*=zJCp)BrA&P$^7jt&5Zmgt$$4`pCR?lwyw&n7 zV)xQ>)GoM9BdNJ`-cV^*(VeFqpf7TQVF)DZ>=aFITO#KkUyz^wK8IZJRUb%v8;;#C zORk@qxu(hIRy(ksD>@^LF7Gp%9s@m;6#iF5I{uLpR4+jLJ$iGrG+T6@5HlS`0VKcn zwk)y4?_b|7ixbNk<2=oF0zd-C#>VB}^ph$0oW_qj+}U5&_7hi!2sUu&z0_Hotx2WnBw9J@!5A|ZCahd<3VLJ zg4f`4_Cz3~4Lp_mJc*W}h- z%>OX=lUBKBWsRvYy?<4{JWf`Rf~*eoXS^D=^|{)BqmRn39L^gWpqN}2Cspf4l|d`U zDbz20e2}bzn_xOlrZ4EVJ4IrUph8{7K?WZ7I&gaaP@Ao|lEvc5MzN%XpG zrB}4U&!u*DF>W;ZC7FeNBu(shWeuT)8V2_?@`!Ts0zd?*vJ_2<%S5A+XX{|Hpoe{h zLaA^#J}G3EVkS--akh5RP}(@a8LJna`7r)Qc8=bEgpv=%cM z+Xn4yRdH^3aF&`K`BDrzkANWYA!^|Q@oc=oT?QlKA4kPlr2=&VN=?ciM%^yNCHPgn zoDA!|J@#vPhJNd_O{a3FjKIw8Z3%^t0&t31Du_4uKct_isg} z1p}YfN01VvR}i0oivY`YdOI!u9GJlnImOw?_j}Gf&b5u)#M5DutmzsAoa_Q%a~H7t z%75WyU@I@wDsNIL9n`;|nu`-&BL7|*Xm|Vht&`}LZSLbH0}HKUp^K%`I5X3XC@&4g z*qW;(?jgvctiC=mBvqEskFf>Yt~E|%QSwt~h~`_C%7NLL7we_)-Hew=5IjMYIOIr) z&>BY`%`iJ8wYeC!w8n`NFhF_aqWkCp3{d`XvY!&R?2P^E`Y~}widXIRRWUYkoxbFK zi3_cg>7nhCdU?_(2D}oOk3<+olf)?3_wwJ9*nPRK;#6!Izk=AW)zupXRXpc3S1Tx~ zLfUWE6lGc|OP=V4UsSu|(>q96L7Q>*_h|P@bWa%MKYV%3;5fbj4Xw0ExzZje4)^zg zzsy=)b(}TXO-bo!^%6{4{Nor-xu8jegsC{yMIy>iuz?RhvInkdN@ZK(`+Dk-#ti&O zjRdyG09Sk{AtwH)`w`XNX{p(obB>r!^Lu&eN60q*+MD2pVxF1CgYxAvdBdlmK1=a4 z1S+dB9O-CGtZzbB{lb?l_Py8?ms=@K)59Z8uaQNE zX6P`fEQ3y}Rx&mGJsvo0q>6Kpic02c%xB79@zX{FA#l4U>5G|;JGqPXXwtI~eEHWu zhOTWaH1Caavx;~8+{qZ_+HtsXn9;qIf2cC&9vZ-+Aq)JL zU5k3~iVWE91rW7&P=^8ek%B3W9WqOGJwy9pWT9-~@#{g};lzfioI5Z(x$yX}iC0YGCR0;=XJ*KSE#`?Dh z!8hlx9*(`G9yVG30tPDdC*tLj&;)!)avbbVVKqGaG0a@AB>*~~VI0@SpV}pVX2`$0 z=sSI9&nXwd&~q1ley_y7jkRvJe9-J;LeRd88o54M$|$bNc@~Eto;T=amP=wyC5Xpe z4IEl%UvL_oM|e)2I2&-55DF!8(yHhESdXxQr|HU2_q}kxbDYy(urkjQRP4$8@@5gK zf#aI-^cnfQmiBkZ=`H&Fw6RHMKE z3`_+<@YnkX&0?Jd^-U~zT--X}*kqy&J9NVE2i4_l%K@YX?8z}&eMG!)^mQ9BfZ{g~ zsz*Hvsw1lYBj-(_srFkkuzkINJ_IwSBhmLs){`K@###LZw?fp;GYHQFXCG~%PtV7N zkFw+i9DJOfz#Mz3{>vZV_Ln4IYkzM_2+t|Jb1LH>|-Dcp6AZ^2I16ED4LckeM{ufA}0J1rPnB2-!t#@s|Q45Q_3)~YLu z9yh}f=|t1yKOVN-L9}yGRce`K6&kx~m|eZDjUFuieT?yEKW-6w#4WP-9v96D_moY{ z-@NXj6iXfsC2;x(&L_SW+8_YqDH$9LA;evwPr4R8`e(GEm#!`ihiQ<5SJOzC)mNOJ z;phcRWF2gaz|_F*c;;qDeFciLgMvkOeP45>=tk12q&zMB@f+4vqoP{M6uV9(@*rqe za+QNjJlQN&yo644&FWq1p{rg$ z1}T&)u_9p$j$vw%kcr>M9MIFK^U;eszWvVK%Biiiw7DaBMKJ ze_X%)nzOEegPM){%2R5m(oD9mI~>j3Wv?z&7(FDd?L$h$oE(zSInI8c85wz!*h1KL zp$wI1&~!l^6{^$*p9{apLQD!7cVKYJ`U=-Su{_xU9i1q3O1xh1xP=eSPEWh^`}ggB zilZ;D82^B1O-bqn(FMbUUP#Jj5-d3DPA{?SDm2>~cDoT#CDFq0WDyZKn;Hel2dq>N zH`^02f!`V~$x`*lj1hHdCRQZ*sG7Qp`rMQgn7Hx=;iKRgefCV-@_Uew_*q(A|HLl1 z<$jnDXDT`IQ{dJ-*yvqFKcXHxyx?K-?gAMQMAVo0+5l~t!iy6Ty*g8U`jIa+W|*0i z34mDV6uw;zX4UjUEPc~#vo;(`b|{_Yr%j@3AgS3yK1N3yvHc*+V(3aKfHEvhc>AB| z@XiSmH8voAeOUR-sm=aTDJ_b+V~qJB2dW()qS;?>ck6NTK(^Fh_bF~ycjT*);FG$v zyC+f-0Wxe%?~!c6*vYZ$^s(#PrtDTG^;W8nx_b$r<=>DFTunqwIDdFS!W~N7A-&RrorzfmT30)ycC{9RXFFUmt67R=t_%P{u^zitodOyr9Cts z`qpXuptW#A`IRiDbshnis`@c|T9eTR><7L5X5ZzP01M-p-WtW#)@W;G=rW^Prv)SD zk6d4_G=>l?x&%9;`|_;&M^h^{pL1t?NWS^7!pAQzq2BPas#cs%?Qd(k_vi{UZEAXudvh(Q8Ri-0{JrY5Asaui zcIQm5qdFyE2@%nsdZ*3M)Rzf?bjfD>f?bIriHf9Rz`Gs4Dzyw+_zn4{TGmLwhy1>` zq=^%?bUqZXBSa9nt}5E2!8Flf&80jJ1s%(HUzPQeQ=w9(2#{$OKzKfM0D~RBbFhDP zr#o}2`LNr)q0#z#f{E*?CaTy}e_!ua;xcBmY$PVcpYgMdBONN3r5qAZv?oxe8^D3q0K zGRUcNn5e?4A%PuM(in%-{i7}VH|1V8s+w}2KBEr6AV_%0b6FZC(ln`GTwB@lcJxU( zXfJ(do|fm%%kPUXT%bc!(e+NiRL5RMiRoBeiE$|wy}flLYGSQoJRyyl+!2*l%V|y6i9PH+YZ1rT?l*LOdyEi$Ytip zKJBZvl^`Bx3VsPUbJfkDzRm_d%)BQ#JBpL(2|%MZdBd?8x%_-}{zm)N#YD=hJ(gwl z1RS?{)x!d$qp3XF%a4>SG5>|Eq6Xfm!1kml&A~S)PP8w-O;0jLl)aa%tZx3A`>oOe zW1of;JJ@-6eq;h_;V8)e#ZWRa)3auXJf)n@*I1MJ5aJ5fBuV;tglto2$ZZzX_}TWZ z=#A`Kf(iatP$2qQ{}_jttM;njB00~C?((eoq1lnoAsx*o+yA?1HsDjgSd!eR@e-`h zJYGI-E@V}5#z)Fl8Ik7}Z>Qns_T+yPByX|}q5>3<_7u4lq7eZwErbBgg z*I@C|u~<3ldj8lwYBR~Edxt#)e*ru$C|cvl2VevT);;bSs3p;u3*Fg4rg8k3Bp22>5j$+lh+O?dAv7VErtm3Jni33#MT1_fD&sk>#)u8Xot83Fs zHasYf877j4l|656%0yp1$)7Y^J%+Np{lFHXAeVf0b&^Y(!uD=VK7x%Mm-?JnBo!2*6;I9`JN)8whEp(V@J+d_HE-EdM|7xT^ zJ|4*y9%&BiJw;R4%9^tn$v>{AOpGGt9cAXxlZj&8NAwFiZCT)5)c-M_c(S!y_LVQE zRWmiLMbWr_uZveO)j}WLXsG-SJnQ;@WP1I-(*o?DUGJe|e{r^pQq1f@CdJ_C)Nv$0 zKUZ1#F3TIOg|5h4cb*)dgzg|vr0n^fY5MD<4eKfpI*tqW4fd*C5h-Ctn&Kk5NETW5 zIV^UXmcv_Ut(b%MjLu+_X=#D*yjn3-C{iw-0uDCQ#)O4u9V9zmYqA>Me zfSF-7G`04uVD@y}e1cK4g!AZ z5d)pPCjvw=tp*w~ZZ>t_8Ttu3L4UlR7xlgmrTGkpA5sft0 zG?qF9b!*hIuQo5L{Rm|4@@X6?9J`b|pHQ20-BxTjb*g_M&3UWVHV z1_wDaSBe@|+-4}2Iv&zN3NqFN42FONQvP(EAZ8Ee_}hE(^>6=d!TsI!D+wG8Qq3`T zDH_bH{{0jZt0HIxq{1GmB@~ZR{X*@5uK@Fdi{?nPQ7?+;e{^C*h5p&tJ|Fb8|6F+t z8KJkRkkOH?_#Ql^tF5E4JSlU}ok`&c3ng?^*dk;1v*<@vxtVmG`UgDZ!ARZUC70>I z0zHk`efV)yBXI7gTfP*jAEWY~rvG5OKL|YAlVc{nEs7CG!6RH>0p6&Q+!G6=17(((raQNoiI? z*2#o(S_1@yFs!G1Yf=^2K`s5`AH59g(=UYXMP|O%dSA!s>_0x30AU1@LtDsA^m-yo zWlJsl;|pc@_eEga2zkP>F|hqFD$ClK0;w|TWz~}or;Q7?hho^yoWds1!fSuZm^i*^iNO$P@ec^>_sjCGga_UU?cE7Y|2wOL^9fA*F)z3Oub4ft{P$sUO zRQ|bZG1QU+zWUY|g*)L>`u47;G&Dp8Y5ixx&_*1LZ)w%hjh8<|$rre1tYx&MR3~T^ zAQ4pHx+P7sGD!b9AkH2@G^cE%P6a#{4;3j-ud#aA&XL((hv=Whc?Zv}fTAD-tHYJr z%Nh9!kU`X1yWJ_7t_&-Y%+a!Uiv8wG_#Zkk*|(ti(-GyeAYv@w4b- zeNgSE0uJf$=%+BA1OIL#{jd-h9m?&I+1YpCz# ze9av-NhM*;0<1132j$MEsqW!ztVGVeQhM>jG!FYgTui+15*XExj&Pm)LCN-QaVVM9 z*x^_ce#6_r)#Qs64H`qLRt^n3?93|p^xXZz&~62~Kh@{zA3aKoYl!nojqXn2#c4dH zyrVtDD5B#6!NC18->k-VTOps_o1ZJWeM+hfs-{xu0hE2^`J}Y)GQkkEuxCp`wp4Rj z=1t1hvxh22S<8F>92ok4D-0QUocYC7jjv~+{s8WuV?+OgSdM|;$_KH<#5uP7HTWAk zvpyUn_QEt(aWfK{R(ROxq0WKzqliG;209zN7#FfN)^ejJ*l?+c#sE?o5x>hK73rIp zaPQBEFJm)XE`%e=chV2`^#`|%RX+7x4Lsh`(`6-?Zy@I}E zsi^-v)%wU-o*vM1#yPDrB5ucDP)tEdeN(hoN56Ich5hlLqeJrl7|*FdJ#}M^X-iK1 zHPqZZds_1G?ORP?+t+kquUZ3bcx3=|5uWnEPpEtRPpzESpP7o;_E*vyucv+@KdZ$j zVa2Z#7L&Msx`UEh7HB9uz1=Rt; zumlgU5*9LXLR{;O$NiL{Ugva;^TTg{j!)t`HzNc-nO<&itTr}rs`o8cW97sa(HDi1 z)X9Mq;ipK^WsXWs&-V!O{2Ga6Omq2tvP1C+9KR~~>(#D4(8B(ZiO!ryfgrCi^_(%X zFV)b5yjYxOnqu^RUd9CL2lDH z*|~PnbAfK-6d^B=xsz7$0iS*%cPOO7=Q*{^cX%XqfZ&N0ASI)Io|^#W|I#?0V}TOW z7H59_>BlFO`VQOS+QMgLNU}7Q@SWQHVWYm1+SbK)!?5h=UE16vd)k@bTKt`q$bvRe z7LCW~n_^ck#WwOxA!-0LsA z)SbNo$m%fjB(j^N?BM?8PyOw$JO!VSWs)B#5INW&Xeb(*;2Y&hG`+A&F{PZ=Ko3kf zgWVf_oEWfQhyHg$Js~?jiI@Wzp*_m&Y{#4^z5pQF#BV|MX+pqeUOZB&J^>-oU5bwh* z_l4qp&SZ4?^LOVFi3qJkqdqY2Sskzj|8BefzuC$E|D~$;uS;fjrcTa|W=6IM;F7(u zH3AD82{Xy>0zW?qlZ4d=XER6e^@EYKnV6Z0y{Q=qlbo5Ig|j6I7bhnViJ&0DpUvID z`&sqBQ8YLNo!x4t+d$>sJwrf4xN0U=61`ntOd&%Lr9F9+5HSbNGwik|S z}EV8i(HuFwo)~PnK6ooP9oITXiDoUp*ED7wt@|%YUrKt3~j+EIAcP|yaZav z6!fh@L+~lA-&v9m5_ueHw5uH%Q~t#X!zN)td?IoyNOTAzVM5|}sb_Gr7NP6F)*df$ zYmhrKlTkwHM=H|KozRW3shV)1u8r+vGCP41m(_^~c0$aPJ z{ew!Mn5kCzd+&ap7(B5FKs3=2d4J8ee-40WaA~smwu2O&M#}|0nbhvb!x0`ADoe1o zr;Rb|9}^8n z=Q`_3cDP!d8Y8%OaKAM9biL*LRE5|;Jn}9vaFXiPPRrfdbywhyMC_L@45J$JI@Ig^ zjj!gMrZlO`)8=li<6_iG%+u^N4cYwn-+7+}ZfzlruB+t0|Pdl?tN zO}5FkLn%J`U?Dzs6)kWWq$)?&8J91fmx9xLQl*VAQxvK#rwBW361gwuIl$_|p$?wF zGTNouU4>_StFt*a9$)daIh;UN(=D$a-%H}SiQ!sVrlc$C)A^j@1^dJ9VXZ;zoh>Xu z9f?}zl&_O^AC2rznDgX0)ykkpS*)xDCp6^`h$2y)AhBzQXV+}IqUo`hPP>iOm(SKZ z&Mal+E*^8KQ|IPsq}mB_3>I(TD1XK@WP!LmuMM++bv>3XDa7-)XtJ`sZ1xJr=OnI; zWmPsD_!YMWt#=q|G>P`c3&7*vNpR{BQ|e{*myoJXk&kW>^$yyMJw@*jZ`$yJn)fa* zsi?3&!&8pkD8iY-P9lI0OVVy@fHXkxn0t?=x>7W+XL3q?j%X!CoX3zDB zk9Xr8bH_pUw+en+mQo)R8Yqgyzpe}g(H5zQcKu7oi<)hw3#|Eqr?agJ3mZ|Zk>SLJ zCvoK`kvF%w#$b2cjw~nnmY)^$S(1fKUxp3Qrf1)&Rdvm;_sgRXQL@?y(>@l+&)1oCpus1aRt%0y4cV(m6>$6dbqw zZiI$k%S&&s?T^F!Fke<_7b$e-^#aFc7|XEvm~G10pepZR$}GPT##*^pQpn9(tLti# zK0LnEsJ|UVZI>1vI~S^ZHGv+UX}ZZwnI2U3E6l+V9EQ;SzM14I>1pk@!T z45v78LikU)jn6pk)kf>I3-GZKI`n(%vZBm{p9h z`Ii}?$x{<)ERo4>#uLH<3H6k`d-R2tv%Q8@5v_X+e_4bf2rDuaBb%%q2I&qJpXCvu z3Vc}Z1@%30*!82>f#hT<@Q|nE?~Rb5ZWoVsOvFw%?Wr5t;@rV)HLGQK21-)a2Ynu{ zo_Bb0T)S6Lk~-{Ie|=PSMWmDN@&)^hL1xbi73|xk-8H%&fzh)k70RodmW&&TPDc# z0x!%Aq~an3F1M&UV0XtCiE-r#YXtNmiNB|?$=+L}&Apr_j;9O1<8>-*WzreH*MCCG z;`PsF;%(D87j8FFxk+ydAQ2_^3N*!b8q%X=g~L;1?m9w!T8AmpmCdmxGF`N^OXQP8 zlXpn_UU8ic0}EP4dMiku*YDLdLvah90g)t#HOyTm-c&*Y(NQOzs9Y}nW4PMHKWq0e z-1>A`@(**>QrjwYE`Ej0=&e*d*(>L`jgXlJs_O3y8e%2;moEnL&q0M}u%J!%SGa?q zU|~)__}a$!#01B;u#X3m?;_!eA%FtRGv?@O2DbO$$_q2wZl8T;>B%r~X+@QJaUEsR zm_dP(MZIy9Z2JIzDRLrBLxBujZZ5Eyamrb6N-EU$5z&vWrDDBovJUC<%?~078)s1z?Z#j3K zF$-6Yo!KFv%`!e%7a4hwiGb({ZY7gEAXaq-guuP?tHA@6HEJLR&eU>xg>~iG?u~EpkGDc1}-{aUY%qByOhaMAYOg z*4r7lyB>1cn?59Lyf(vfy zQISi@1S^cR3373tLDoKCUa2c1*|v+@hCRpFPq*-DoULKf^h!<1!3Rzu9&RK>u_#N9 z218(4z}`S;x~}z%Y!A^IGkcc$7EOJ`Lk`YCrt>Hf@)fkXz6=99XO8>wuU1`j^6@-k z@2O7KtbIqkKln#Gcm`Rb=4O(`#t0G?ndb!MT<;m#<`;hmRo#8xWB8Eb{=7+7Sn~44 z)Ol=}92@e%oB2C!ozz)H#Rbl4jG!?Z!-j471rD2QFM1Ul`OTrfCy{}6tD=a*7jp@4 zhraaURde2@pwBTg%B*%Db}d|2Nc^A~NF8wU^i{egAi@hb4-2=)ZCq{*7CttIu1Ekm z=^;TFqdmYaFSL1{Hp}$7YcP6=3EoSD?%nn|kGPpKd$LyJx1?K`2L(+fTzWZjOlrM(FX}}> z`NEfE=mQ#H%YG9CPluJ1?cy|KN!J@GHzpf6rgQ$}Dk5qHDIAn)8W`U^9<7hX%xEWp zpPad%Q?27+!P*o~3YS;vNl`|m=>*SmuE0^L({d&4c6-#VZ?8n-ZyBi-Ka)6u_ztO% zC03Quqf&>@cs<@w&lx+@1zGDgjGHp7LcLq zVvAe%?cC3m7&emxFWu?4;V*+RKz!)^z!L>z28j>lC$~|Jz3<$=EPp`ZnH>MQ9_aIJ z@v9E~zBzU}wOb~Hza=+rc_?oz--OQv#~F@JmbQrpWJ;ee*jDVS_)XQ>dP!D^G;8U2 z6KQ!O!ILv?(;dDXpvECYwWWc zL$dm?j=speKWzjtUUE3cVfA$*rm4=WQErPRBVSqzgmz{h+-Hs{|DN-j~?~mmVNVVi!4p^Ld6)kueFG zE~L-wYEa5nAt*;}A8&-lI!kz7A<_n2EibpG=!$;^64|~wEZ9yA`-_`JPeFK+D!|ZM zHxt=>61O=qYNv#8Zj|ZtlBXA>(~lFxMJFzgLjnj7%U1)P_+MTcYV^6FJ&({{ zR^yxwll2;=7SEFTeefeT4F7pwTZeyR^){RGsS{=BQ`<~DzosHS3tR*ry;JQd-0BO! zP%r%^H#NHFboWSskGB%yaHLxFAop~}Jjq!1OZOTv1O3-;8XLPozJNl%n7qV-Ad|JY z$qxo7hG;}%EqYurgXAckiwhgeCG!B}<$!u|_%*5`=P5Rmy?I+yHh;>w;KEf(L;Pqc&b`xcnN%EGHeF{6zrV^r5|vz2 zHEA?P+7`a^=gep7gSP67JI5TQ&u>H|@Sh=uh64#3+uY&qn}u(WO!Cy1lI3hUNq~7h zG&mBjDfCAOq+*hUnnsbsVGd#`fZ(p;?}MR*m<>3j{e3Yoa-W+GIDkL)T`yF2Wk>n36CUGlxdhVa4s1?vnJ&o?>flZ2poE)lm=bqM@79 z-%%>`T#xY;r8CSZy2lC>2WjR#8DFx6+JXX%^&>8wRG~J4C(Yd<95w;LYyCvJ+ z2Y?F28HyNEZaQc<-4_O%FOa{#&2w3r`8#?7`$$LPayikI{*|8X@jK4xY~vq>`(=FlP|Kh;XaK+ex}3KEVphg zLki5h!jDM8OtK0G;f9KA)I&TG{SXht(0%AxoYv`jOMf&HFFwT_oCw!HylqujSQX^p z5X8wp0i<_|W6;BS3%>JeX*1i#w_3eg^xx|*R-U^s=~otmf4`VAdtW;heFFl5si?j*K*Rv$#a)r40gpRzk?Q5Mt>c?y(!Op7B zJ;L5mYg|6~$oV?##8f;@>U1?Tjqu39X@5 zJsBmOWKP~yF#g^rmw@Jrv>)9~pQ)Vh?!DSa7e3?CaKmp^avO*b%+aC_bEpsEO$JG8k_{ZCa_LAdw$3|2L%pADCW%ads|~-vu36u zQSaVa@_a368ExFOK6>;#fDqI!m7_C7v)$Vv==yXxip}~_Hd}_U2Y30ps7@gNm%@%| z@iG~h_bRn|Ynt^PlpoI+r243#OAWOoyk!BAvRo6)f@)thslR2mXN$sANNA@&QtsD0 zMA@%f--5bm=FPF|3M%ab!rKAWFNQ~9W9g^2t%2`Vrr6=SEOpaY$;Nxa z@28nFtz5*~@edE0Z2U<4r&N)z(^r)&L5)cfg-Gu{RlOp)C9sCd>nR>uc!EO#>~HiL z8gkc0y=Iw%@({E8Vau#;7VbO;GtJZ-=CslLtODOAc0_oTauMP^Lc%cmt;J_R_#(U& z&<3m|sJXq*sUFUfQz11YY;+#79FNSh3wPz1aD)Yii<;I4)d_@yc!v>6Zl@4}bQ{o3 zT~dK`ES>K=^*a>Nvn9%lcaZ%SUpBXuG<}TVW=Moby)h9FhDip+VUUt7{55#AERpPD zy2qLM9m{Wq#YOc4fp}tU+8GJlR%(oIOn=$RI}^42+JoTFFA1yU&SE3aHeyb_maytE z!xic8+dw=Vo7@JSe_oIk-zE@@Y54_qDLKt4?S*Iud@uYyp24>K;4;q3&<@21<`sEv zNev}B;RCjEkwy?*yAr!!d1;c6u}_il0wC?R>AU2I9SqkaT`dU8Ohx3aR68JW8k+=t z_^fhI$g4RcP8QncI0=QGFY$SyPWG=)B=AZxuuajPhr%|)s?;dy1{jbwKEd+0T`*^H1B1OcpDWiSDku7b?aKA57VW3Pz z-qdWuXmnLeg`p-lau7r|(MV)$AoT_`CN5V66Xi>GEKk6yH7ruDuQ4+nmW{=YDSm&L zpVd48L@hgk%NbCF*;B@#Nu_YUISAqrlO1~!Rq5-RZB6F3H?mY#sj3BX!Z>A3ilE() z{c}r?JyP$%076uOF=u!&FRsJ5`t{sjd z=nG?Jvlt>P=p;0U$s~1^83HNy0fmtB+<$}7R=zx`GS-R35RIS6+RjZ;OBw;^wmRHU?;eeaoaX!#y#*69vNELIB%43LdN5U|S3_PkzOhEir=x=0 zfn#l~=O=}=u$298F4Utq&Z5G3>5~v$S_DkdmdhIS9uLpP2OUiL+fEyVVjL*UODu|IwWRlEHqfQCBk-mcIBfB|5#PlcimL^48mtAEEBivMn8Eu3K$7rR9U%6(s76xsDmkbRsmFd0KEI1wpN9zKQPm83x62LY`*D0e zNp2gR)^MG*=cBIoon4@?&6m}1N7^!wY;sB(K5#~4sdSsGEiqC1-h)W(JcS<}8mYcWJ^`d-TGDl=(2`XP65GIvx z=?L(@!>P)C8!6{w`#}KATlDnJH4N>{mdAY zrZ(Ik*DMj8Bk`VOyqGY(Ass!6r19=X${{xJl%5hOP*4u+rYZZ8wp~+ns@{WU{M!2M zxa*W!@zg)4-~jd)n`SmFbFgbF{Uj6!w_>X1WHCvQU9SX)$npKUvDLv@=7^sIlO3t> z+BgDp*3~?kBb+hHvE!P1OXXDRSu?9*b790tZdZeMMX#v31Ao^WP-pX|`oE&b?Ee8h z7PYr?HnVefB4ObI|5t^CN#4xV%1FfCokSP>H!}$*3o8j14=ag236qkMBbbtejq?ve z6*DJ$7e^B_Cz9VNw79#oq^dI*f&SNDlFTG*fAW)LAz|bBqlF|Z3Hu*KK|wHH4VL^b zVhLsv_CI+_u#m9-#V*xJnG4*^=tBrF_%aMfZVVfjNAnCoxGY91fKaJdqg&2OCiFMRzs z^PI6Ja9c4mS1S`U6-lrf|N6I@qmiAH zxxJ$;n8)AXyqKukU(mdinU#g5GYJnn36rXevGc#=|LZ>fQsyt!nKZ3T!5BU(E6d+_ zJ|{OD^MAneUl(830cbK3(h>j&2mk;A`~!Gh0f+*C&@ez4Xdn;<2n!2@LqJ17fQLuG zLP14B!^HxDaItW3@QEmi@d?NYad1f9l8{qT)6&u65i>9|&@fZd(9-;70s#vPivWj! ziGYAfgO7tx^S}Ig?F6910So|ZP!MDQNOTA&bcokp03iSX2?_OE-M>FDP(Wx{ILP0% za%cbmG!zsN78wQ>681L*1SAwR00tcclN5-BO~x{V!z!$-s*wO9XH!!*GLMamFPPne zqY!cGf@N26@U5CMuBPHJF?I2a0dvEH_~Y}pB(Q75FGbAh!96SG07YG0( z)Ne7$MzK>^EW#==)6lu+WX2A@Ib9p9B94BWRphVB03;|d9Xb>`KnT!x`t29nW`_g{ zcx|PqApI|s6emeR#)e{M^GGjnpv?Gi%|W^{_1_a2X&i;hyL7!wS%^|Ar3nnpBf|_K z$pNoB*-ek z;;IH1gc0GOQrnS*4dZsAr#Qgo?S~xpe@%)N!7T*47yW8fReMqE^l@1w%bUp zc102HMB6+WN`X@P=)fF|JcQzy=sOIFy^EsnMGXs1XL~Go1vkTDU*@bk(A+UO5&8_` zD|<$|`!*G6Hb!{Y{3E+7mFL2xO*p*FrH`uF=HJo;>Q>Tn%;?X(1bJ!hcdfnOx9Iy4 z?!;)h*EfF+M4Flit2jiW`=9oVD`b|X$Vctji`r6^1FG&2oDbZ|Tu~nAGg=B{< zo=!#Yl$CR&U<#~NqIs-B#y}}_8y-}uGUi3*&H1J$)R6CJe3IclazMQTqS4azoBIN% z?dS_y3U*-~V`;ujtd*P1^TKI)8DU2%1Hfzck;Le*0Tp!UNE0XgH)`i&R4IU+xLg2y zi~}>P1puvBt?(U?1GDK77-gCtN6#Y{PSmEaDI*)p9imwcfRR$eNT*STfM8aGeSpz? zJKk@^4U^A0fSl?_LFzMzpcx9VA;g3tgo6|cKq7t4(?_1ED)`Vl{Il)fK47!|ot@yk z;NqQ=h5f+nrul$(@jj1m^7Kb&&{MG)sY#HOCG=MTpPNj~VW_pkY6&5c zs{)6bYzy*M3Q8ycJSo#cTX~9t-o8(%AHU#6h$Ph;C#D-R<#8angBNA3VG^%X_>Rbs zCqQ(R~*8Cy4`w_)w-!~dOa;X62;&?x(T>=T>S#r}s?V(jg?ZLD*bxQpVz657-w{9C} z$Q9NiNJajkyqMJ9rOUK*5yNzX%t!Q_toG&T2R0gssE zm{uqkmCANa)7wjJdUOY?tyyh<@FALWz`_Q3)7e^^VNEm096b>jN9`rYdEK~9&5H5; z5uegW^3-e-KQl~q3>|{y=``6|o-Nw`Xj}AwkRIqF;?L;GH|up!|IRWZ>KKOx?5k5& zi;G8U#RQOebAeYEL>2z{blnH2)#O2Tq4o_TT7r-9@crZxyw4t|~U2ta%RLMx~gHi9gv zNB|!FQXYM-r)#wH)c7^Pz8PNAjAN~@?V?Z|z5OZ|_P-qfSUbQ{G)XN5Wxy4H;3%Y}dvP2iGB-x7)6K_})gD*9_M`2Qum z6Abkqhq3VfF?ghNHK}Q5A!ugbq6rma0sb5Me$@zCWg>+T86ld{Pbzhhs#HE$*5DoO z_d!!%ui=cyUBh%Aua@e}li{we#Qy7OCnCKIKL0@m3<;N?ZZcvSOaNO(o_|!cho*Ji z589)L%9glcNNzc6o?OH{RpC0?4ny-(I(hbSD}u zgWTMYMG3BD`rC?jiaSF;8{>9K8mKR~&sL?c&?@)`$m?Y88t#cp{r{37SzB$kF-IzQ zsFWf{0_h}Apw#Y=(`)tQV=ViZ%s10`EOVstLg|I7aDentM3MXcu;7_{KtHJPeet$y z8IpVT&+RXzokPpg{7!7KWRqnyeG>2%s?cc}jmg<6C8oRcXFy08*lECTZB z7!@^K`^X#c`eP38PASSX&R4*U&O(x@%nbFLW;G?AqOV_6;76Br2K7TQ84&0|!tb(m zp}xMi&gA+<%{hXe( z&k2B*!A#9$Hh{c7xMY0G`QgEcEM~-tPEmrY)GgJh_=m2NqKKi-#Zn(!KY(&w|-}$ zlWwiRi#@%}p>^D?j>{YNDWTzBR}KAz-sy@;L9fWt@rzGS9qz(y0(J8Fqg+;_*V#>w zUU5?b#z^nl?y^PdgLG5ktFFBl@XOBPphprD)+ zY7PwnvHRu~fUvY8J9y$C{DuZ2E9POns_`#-BqaPiGu)zHc}e}ZJ?h5YJGz}x+y`r9 zn#3#@c%AGdwNA zf|=;Di;em%7kRu{N&jw{d_3*gti?XNU(4ecXe{Ddt``#v*Z6kiTKw@z|`@Gl(bH`u)h@gpEW?38Fl1S`xfRM z^HBWv$oxO_BuYD=4eTzHO3PgF34$5_D%e7$q(oWUHr5DjC})R_{ZP49x9bsgfcdL{ zobANMaw6Y=WAQ}Cj_%PiY*1POUL!=ltVbiQLX#-RO%{O0BBxD8LW#CW!UaO&^c@`? zsN=tF5DwXAS(-W$3uOA=03vijhHa0<)i-ugu5J!ElT)&$0RTG9ne`L!^SBI$Ev| zqAXlp96SP)FfSEaXEv0A<+WRUo4W4z$yEtZg+=w79(p0oo`8rR^>NgYQbR*0xweD% zzU|l63FBtsgZ*Kl)zi5@Brg0)GUk#g2gO9ypm|%Fi_bmFdGwc{ziQF`IRQs&#(Ya(pbvuf4XQZ;+)HJ4LJUj4>VS9a=_6 zoYYgJ0|mPFGEuQ<$Ph-EhH&vVpdQ3dA-?Rd4V!j>@Pa12Wm$Yp=Ho+&X}ZW?wrmnJGpUtekx7sgJY%+tpYrO?Hq zxvgZxCWJPho=4eMxwR{RZ-+Jygdu3}5GH5zp^8qiF|VdpD&jtZNgF=Av~g1Sk+@c( ztJES_npxf7oX?R)_2$Y4Id{^-7M5R%%_^9zx`)QzIYi1uF?U6qQ~{eL*^U`XjaI*| zn;jQL*MSRSi;X**(;lligvAt$AzB z^|eQ>Z4Z5(5n`P19Mxe}clM?neKVu0sbd(-pfJuVtS4V1Hs~lt6%^v9Qs0l6oDFG+ zKpN}=2X_SskkDS4(W;@h<_bwsQPEn^3aqp2`r!bmwvM8V*$t%r@uR4YKKC@v$vYiY z%=h-JQi%EI50WsGl$q829e5K}_|8Kt5v@hr=v*5Kl&fHvI5m&A5w1uc61FT_ex_!~ zvovHDkdz7aXwac#klqk|;79;b0$g#VyF&)iZRTucC`=Am&(ba&lYiHpz>GGA7M1!O z*A#UDvj`U{8%{ZaJph4t_T@5)drHUJ;U|sxz8yRJ%Q9y1I_dB^YAU<@7&30U04Wvr zg6|-dk0pq*p|hQkB!soyR3B=u=T2?na_gr3Vv`)rcfWsJR?Y>%If*6b!^f@;)CpfO zL7InmB;BhGl3o2Z2!;PDh@Jdvl8N}gPa-6L%_gL^DCS^SqJDGq6%Z)l_ZFX1e8=i* zQ_dBdk*P9j6TIHd>9ZuZ-^g&x;piO_6leWuKf@;JcH^ax=WUYVJA2O7VY21x|Og@ z&BMpu9EVjXu~;cuSRB&0V+~msXB15M6}p%Y!9ZyaDJ8D_;7CIO-o3O8DgG$k7QV7m z)}3QT^{wbqC1kWQxiBiL_GpP8qYja#$YOvUvN|LgH6~R~0=iN|HdZTm@qb@;Hg_*3 zIA=Tp&G4Cc^9Rfi(M_!HjCh2_uFKO!l57aAiGvXX>EB0sv1>|Dh;UvsL~aF?*T7uJ z;qvl@8Kt?e3^;Uaz#HZYAF>h7htJ4LH}VnEaG4=2Z6o;FPiGs+t)?;8#CO|;1<9mq zQNi=^^bW!K1SaM(0{nwWD0x&+Hz0wNb1C*YYhZvui;h6!J!5g7@d}@oA3M@H54R=!c+DPY{_K(^L&LJB= z#G~&bsa^rKT`#4;31wBb4)fHe5hp>7HQ@Q+bbTo(q{fAXD5a}@%kslDHXGlKRatri z+m7v|JyHa{bxv>^mn!;FI)NQ$4*U6_!LDKS8e^u7r=xKUqH`@d^_^tbU8Fde_1_SC9&X)Z5?}wX@St)=rYI zp1RNa+&p2WE3L^sc1k~RUhhzZ(^}ijg2>N$tG!NvTUL^xPnb;cX`E8A|FL`JV`rx4 zh}TCGm4BQV;=@?WFP=oz1HZQmUfI?1@^6mI_sJkWBT(j`gLMJ&dY(B3cs%LK& z2q6P8Yhz8T8KJbLtt(oV;@&(N$FQCVVRX|5N3Mu`pp|ND$Kc5IrQTb3xt6?hk2e!N zI^3Fr6Ob@Tol3Q`;(|2^1Rm$;^7|?=Jg6bjrp1}#h`q^U8M7Q?uTAr>>h^b4s>pm- zjPpzf#2Oo_L1b>p#PhoJi8jb7sZ>PxG%|d2@#VZNQxEgoX7Q=J+0`(luxC(V6F==4 z6aBZo$L<@Cj|v^375~*-R4FY?J=lf!YiQ*T*yKK}6vr!}RqM>4=b8ARQeJ%Tv=tn> zVU@1;JoQ|QwZeAI741;&(p`3@)>_Af1h!XG(12VllS4{;AaR#u=By4YW1)8YUHt-U zX?;(-_tlCGROa$AYa(cD&Hq9wF;^j8g)pFEninG-? z0fDf#Zr#FO)Ewgh?-HpD0<+Wwaqt3m8+#ZqdWVnY8a}K03CCcdJ8G!n1d`3b&y*OO zxmIVl7*m-JDk+>P4Fk$7=MR-3jT*2ok7Hv>Vk2U;Ir~$1<9Sm2W7G}x3gt90d=ZEa zk0B7L>MGPBlcDKM;;Bs2x^v=Z&~dFZT(C6s=ENaHeSF77Qyf^$nUSIU(9rjSqtmGZ zf~TFU1J7AlkTTe9l$G*XDnNlsn)NDhfnwQ)5JWlQpGs0Oat}rl7QC41K7TGHq8&Wj zad`zG#x3=OlZy>4*sh>gI|1Kn^Rva4elK^>*__*u|Iz86Zml(cW};u^68&X}^*tR` zT!|-;o(O4sEKs71&ZHD4T&W$#MOfj6>dct|3&nEo8#QffEH$k|JX82!ASn&Te1TFQ z>Eg5u*}H4(e@)FEG;-&d}(5`$=r};txLPV9LwQ$*)s1>5leDw0*^x% z)f(2Tl;t8`qbN;EgQ@ukWafdg*l(6cpW(9uMePL?O8#f{r@P0#Dht#FqCbY}B!#+( zuXBn1VuWbmZv3L-eGKQvNCBMYOdn8tgO`*(KF2eI`b6WK6n6(pdS@JUj4UmjZg ze?jK|Q=R{*{TbD<@8&v>03A9Ea&Yk;p*Jt--zwMr{i+lKQ5#XjG6x%Ew#Qbd;N0`3 zQl>4h;urp34-``vU1?=Pwe-Vi>#l3b_|7lGe}ma{0RjIBW+MV4XVCu{W`lwO!a+d8g7eV#0wmA?5Kxd%kU(U3I5491 zH_it9jez~e*%D@O$k~Kd)isP9S6PSJi)p z*Q!HKD<5cXOItUi;{ESD*H_)Xr(vXeWIuVZoR&^rnZ^`b8`9Xz_(DU%aTvv|>Hktb z)6Dd){Zv|oyvw?oyFr>9bH^P=+nN!bdlXsG2T%iAyXe*tb=sE!aXCre6Cc7J-8K;{ z*xfHYhd(X+vi$REU41Ssr1|L_pPM49tnCh2J}qB`Zp`cGrT>e~1N)y>4sG{8Y6&qE z=YBi^6YXN8$~dmRJ%YDJ?@#@CoikgH{Ze_m&i?TahT6^IWaSIv9mf{C9eI*}Ue%NQ zq#s&-`b>3EJnNrzTA3eUh1R_1eFaoyrM^T6a$JVHtWuJ_%oF(4=e4h(isPD{Olp?N z1g}3h@?t+N-IwP7sczEJ`#Q-^t}?wo17)Z5D<;mwihW&L23U5#>U~XmgR3G}{Re!m zcdIdNu6M5hy0)5=dS*MFS6Ow^+2jQuYjfF`VmFAahM6E$%6^1@eg(y zTSI%R*58FANHI++NKanFyLhiAD_o~@%T-;Z@`7cX@g+GmbzdofTFV9+E?SSEgH z7FzvbX30`LS1d4>CuB4sSVGP*2xKrZAy%ppC8V;S=O}Qd3mSc}TpW{eBhWRHG0fXf18$~ zhc)6zUXx~ObT@2bzU0JSal)SjXEsO4t6)U9S>xREe^qzZL2)(PqKBZtNdgQ$cyI=H zXV9R*CAbB5cV}=R*vuDnizMkqUfUc?7i0Ro|d&% z|5m+*hTWLxw?mqhE)Wscfb<(+v&$O0CYUmSBF>_(5gwosatO8V&X{g)j zK&O#jDq{2X4o;r`F6^gcC4399prUPd24CfP1m~r4j23Vj3ME(BXur#5q;@ZuG`h>e z*+iJJ)`AaQ%eg-jFK^CQOj9v@?$;~OIF$%=8v;+8p473(c%XzNL>+>UFsSwuOxfz2*R|mb9SN0o_p-sxJ3I|8{kI0s@IsNg8Q*X-P>vi!OQvv!f(pqZkv^-JBq|=meVnZ@t^(l9i129t$m7tv}%f>Y_ zxNV1tV8Ybienp$gdWk%-C@(IRPhiXWvx_8OyH*n&v%0L7nM6mDx-CaDMS_Mou4>Q4{YCm(~`>3(U%-K-}m#Zh1uH%yf#1Dsddt6E&Dr z40Vu9e%UEwl5scZ$(L0^8p*(9q_g%*NRvSpG%A*SjBq-RcJ-@u`lweP-M{CCsxBdM zc!*8~qMX6obdvc;OKVn*#1PPpUw!$gCa)#E5_|u?^lv#OT%a$5qE@Mf1ypb7q)MLp z8~VIfY6WN43CY%vbvv${UXlW!t&Y?pF3qo7RWzC5q7rMp`o*n-yHL6u3T*RDm#!6Q(u+`eoj8pWy5rcSOPL5&`@EXT{0fDqE##$EgOiL*A9*LTh@J*Uc(<=TN3_mCM6gWSQ2C_(QYg-KkzrXQ1!aW28^J>pT?0 zdU~)oJGv}+HIUiBZ%`2spL{D+08-M#J4~|8D5c#5X)i@=-*FevJ4}7& z&8peQL|+=0eavog^yau_>NQwSt(Wt}>1z;59%QSF!e~tEw-E7U%Su%qzw#n}FV?2> zW;NLt=_n$OaOg0x4iDvZ<@e>U<`58?5|E7PB;aOw@g}WA0N+?@Ffr84HoE+l=cXYd zfi`)w%YlS4WN?Eu2=O{!7Ij48wT$yNrl6*oQsR8X55&n7dxNHEH_?fu5NK9CN9&TW zz8r>B2_ZtD)ELA1)u1Fg6>BXHTE9$(3es)=xH105;?KKT{%e`Ewz5Kjzdk6l94ATH zD=pV$$LA=fFk`Fb6$-Q$F}!j(+ZNha_Kuc6zbQ|OoK?O*e&%m|KoKO5a`7e6dkQAS zmQ?`tpD6!P$};xY!8NqjsZ_5jx{t=qQ(dO#h}Qd`*MXabVwin8W5V)_|9I83C&$*G z)W|j#ic>q77ppKRPn*Ed*`g)SlPF{3M3)|~w5&g(`nw86^beU43w$~hR=&F!+q~L^ zM|aPgcU}@2lV5Uc&s_ldtqjkYGi3AIXPA};8m%V8|AVNiwST;GvL2d`q~FCV2UbaD zCM!J#|0SXXvvI{Xl1V`)+m4~zsj#H<a}xOmK18sxxF7*tZU)+f+M8jL769Js6yOoamU3$=FDZ#e+E8`JV`wMF zi-xAZL8ns5$fUYdJq5 zaq_C@gbma+`1O=w?E2gE$Gv|(?O&popYx=pUKcz>vOT@$z~T8@rN88%ZTn;Sl^r*} zRrh}9IU{=7pkb(e18U}RV5qfBzc0kV_^7Qgu zJzNKh_SQ4F5Aho{BR#h+?+pTyxE-xc+)J&YQTxfr12XuwJjb4=*bQ4$Clg9d@!{>< zs7v-h@Sgmuv)dVvc>5KV{sF-Mt+j=9p`u^sDJZ@wiK^#~h!ZUx0T-}Pxq6vPSwmXl zda3a^GYuMrHYzl0+R@O@Z##|eJEY>P|Q{y@0e3787*m7RLVEg!1oj89wViZTD> z>)cB*hfE9MXEVzP!FMpEU^=s_m1(Avwx4cG!77}7g_Su|JrG4VY&p`AywT92|3K*G zqT|*NS?NRrsNhgBwdiSj8kCqPxl{yp@(}RomhO$o4gIVu(TQ|aFIM^{R6~e(GVxJM zbf)+N+2px~tq;-4 z@Q|%emDFB3*x3xLCAg_zEK@Er$@PXzb8y(%|E~NLt7+G3z)WfBSO+(RtS5PgkzW0- z%b}aD5fG6SZo|9TLdjWIHld~I1Or#1Op5TiA44l#&|^4V+*sFOSv{`6tdpe*?IlZh10PGcP3sL$aE`d zBdVl$=S+u<_K&$_a>)7B79ko8kSbfFx`q8^j0_%Aw!I%kZc$21j%KytG)!_m`F%sP z4KY-*(jzFy+C8+&zKMP)o(mr%qzD&}%hOHhbH1H0gc6mfVrr;B&VFlc5Wq)c z(urZO+7FO_?%@r<>J3J8HjP_Bl;jWbni-1TN^(NgeZs9<#X6gOb5)`pB6ED69-G8q zoRgAxVGqrF)+3s`tZjHv+ciJ`J0e#{7#ri11kb$GR^2k%c*6tHdv(9{Ti03)HplNE}&t3fOJDeCa@p)_gX1=V$Jaz?DFRW3A0v?)sfR+9 zH@A2|MRY!=l(>Q@%pLBYg91e$L)uC{(R3%~UST+{eI⪻;G ztHX|lqL?o}2b{Ny=uBTXn)uZ@p3az;yfNsMnK3puTUPoDGyu-3T{*t;rgFQkDMY?*m#5r; z+dCz*GDk&QVS@5nt;Xd|X+`;grrx99xu6%h6z@d??|3YK#Wx*tZ(=4S|Inl_FR-N5 zU&wO&ZZn)Ve4;W&By#C6b42AlZQo5w!>CuKt}8dK+)*vK_i-hS4QhYP{BIvo+{|jm zR;zG+dJgG@>6-l}8@@`E(CoXW%x{l0Fr;3ZH21Hqf;v0;cV>PsNi-D~<54rqOt*hZ zll!-Tra8s`rCcf;?<%au8fX5Y(0^%0`x9yZPcz#8M1$jG;b#AL(c^#7;E3wuLe9p1 zq#nT(KxuFcucWH-j~L*M&#J@yVMfUZUy$nNrvwU{d2AJ~c8Ex=D{%FP*rpT`ddM{y za3gnfcE%LI&q)!jk301#zHQSAIh9jLYcKbgdqO(TWLe+e6|CvKJR~R>+&4B(3%%U^ za(EiL{q#xDYbNE>3svI2PK)tdW^ky6w67TH;X%T&cbg9s1y{`kMZxixz28uKcXoVj z5Pn}v+4QFT4+_o}%7WXEyr2sqf7u?Y|JjMPF_J>#1V$mAAN<%{$4VhBo;@PoI zp5O-t;fgDE<~gzYev(3;*|Ac zG_hDHdwr&SL0+sL!mr}`U!p(FGgNtug>vH11F_2@zjGHaDDt>KOez{E-shz|svpw2 zV?|)^kkZ~|c1W>knK)EfxXc+e6eWsIKiA&QGt<9boZD#sRie)%6H>;_%Fl%c z_UobD+Ei4SC*wpZ8uB1;T#p>7XpE;P*%I*R@z9|yX>=2a=Ns3YwdGvDnx{9wi$c+) z{sD{4g_e`Ai*||1mt*JI?II^QW3ytKGo%Fh@CnQgrA}L!~sh7ZQ@~4ULEK8ja(%vWM^Srf9b6>Psw|NUL4M6(|T>*KYvxhg-j@!)HF1mbTO4~UDlMUdV35} zWr(qlta4C#4$V{~5A*=i@ zPf@Tj%6?0J zc+2bv0L0wnw=)RA@(c}Bk>oK*%#xU5zJ9#5@3fl8&`f z(UUT)QhX z)WW1dBoj8H-ZHEp;_C%-)blPK?F*cFYol!C(#@J!UcrL^9fN+##;-Y80qkg{eiTd5 zPa^bt{Dm0?^t*d{cW#>9X~wLOQC`F8@!c|P9%jYUppW?`8;V>(lQI(*T+NF_7Q;Gk zw(|H0??`2w$?_y!ZDYSVje=FW=z@rXO}oMdk)mrdwNxqS@3<*f1p?!2WClIc>_P@B zjjZZtIo|}~&fonSzMM5Q(Lob?wM+)M!@7G>qkQCBma`nFBBvjElOoWF)hX>ZdJE9OLuQBe5>t-5?lrovg!1sH^hAaW!mF752 z=mz0IqwW$4!D*e$qn=i~${s3-^b;}Hiy792Q{+5h=(EBAK-7qUW65ME|-gs9^gg6#k>qM zyYeCAh=O3)=FL06@lHN<(VKza>HDL$Wu>{ja~Sfj#0{s%rQd>oJ}+wvZ{^5XVJV}1 zz0)WNw3nga%g3e}4wqwQ_3*%-f#6 zZ*o>?pf}@Pk;HmcGx@buA#aM91u++s%O2AIdBUJ%G#@fK9L^qbN&#@EDGXrVR%6sZ zzBzMjW)Q?sUg}M7lC2BjGwHJd!XlC_5uAQ>+KtZ#_EvmwEm$9YuRf*__0DTdAAUdJ zGP@yeqQ>C*42cYhWf|izI!$zI>Y+6MN5B=YD)PY&6a@FWz>9G4&%C!=9>lV=CJxGVtWnwA} z?X-Wk+$7?kegYz&DE>i5~YuhIxr16&Qt>qhKkAnz4U#(DP29CB0g?>DjT4Uqg z8|7i=l14wZ*88Em8@cM+qQ$$a>pd$u_=Cw(1Z75Vb)vmv5v*f=yIudQb)Hx9T40+p zo-1S)zyJ_E6-y1{)v#_i z|J21r9Zy}-D(l6T&hvogR)0-fm`F{}cIm`2t()I`uUJ{a`z|=Et-kw|s{TtAZPsiq zLl(oNokFGq8_v+Foq4wBvKRn4@xJ}lr=491(%ed&X!&lSQ+soc;mx)MPWwF1{oGO1 zDx_!Z$XPJ|%Uu%Rd?WzUZIVq1hwWN{2l9zQ>oyO$pTB*$wbv`uCdxCXKX817CW>T9 zWLzYD0fvsrPJQ4^nd=H{w|WF@nQ~7*)4VATG|_b>ZJe?V<_oXpTP`{V{8B8A#ne!OuecDKg8g#u4N(u@iD z*auidiWn>tXH6+2E`5G`y1|v;0oEQ|R%;Wh%eal|jq}U0=Z5O&2`}k&lfwfA{Mces zu~?=jO<;EB3tG5#GqB%9)s5!HiowIyr|g>avt?#`Too4a%VqfL~V98A$@`T&AU=*d0Gz|Ej|dMmicPF0m45PaV)@ZU+*mf;H}fslA>3 zE=)rP(@+L3XDFGDsDz=d)l>2-uxFm_oYp3gohXCubm1XQHsL6BtQQWd_=2It!9w+0 zzsmGXUT?bQPd=*}s$SGw%dpV(U&>5Lvg&nz`xHy2L%)aVkUly0HO-LqYPTZ=hGHk{uF4e-=g;K}uQT*8096;rf5Hltn zb%X+CktGbVjH?M@t5JIcYl~L!B8|9eklLOL%D|y0G&fmgG9Hy`=dMT>B(`)@=%_BT zcXBCO_e7*Xz|wy7l2zEd|YmrmJ1T{KcM#5$Y$iGA5Qc--3`~^4q%} z>pCzN&d7&AOs2oUf|~BHJSYNNe33z!jlmNutHfzmyO&EIsFf|rtR#*`{5Sl|1s$59 znA-2ctBTJE5obqs*wo%J;$1!yAs;Bs5sH7bR`LB#>51%UHtFu#bGZn-iA3|)`4kB4 zfzD!ccw@x6A+d180%xV#`=HtW$!;pHP%N;(z@BR(MWm7SfK9TNDqQ?X`+Ev*_XA$c!`9T@wqT7;^2J`6O@Vs|Wd zOS!9qo=%tE3=3U(xNF)CLF9Nau>!e2owqm&_kC3o$f4Df&JUri7GpuDT$$GzvHn1g zC{Mf~N*N<5It(+z~@SlQdH&3z0D6R{%8;H_>{+OZkAZhP*uyHE=22wRR+H73SG z^aBhR=bu^((v+mrFIz+5)KV-GJ5l(o+yuQU(FF|WI%mA7%LY_`+8P4E5~D8@*!)l* zy5}0=p}+byJKwSk*o`NrIJwa1Ifb?L^h5WM*1L%74bSMBUiWM>L4j-LbHd}@p6rOL zyM2{Dr&4LJU7U$`gV)Q^P}YmxU8t7;G@_^QN5R@$2eZ!e<zhKT3N+wVjNk8 zr4%rmMGniz^6f&K$hM0+9WX-E~$RHIhNwoA@HqXJaf!<${)VpsI1+&lsf#N;`rd$q~uKOQHs89eK*Jw=%pN&Z;~c`$gHC6G0hP z0J-K~%2~bjP8lq?!u_B=RDneJN7z z8dze4Sk=hY%oz`c*0+K$ktD?f-}W|R_0F)xm)r#yWf~%9vEcfWqWOL$yDolnxbVM3 zr9h+*(*778clAP!$WMFG<&Ck}NRc#29;kfC!@}f@Xoz)!U!yXb7-x8l-3$pi8umDc z5q9+;2I$RM;~|}ukPWO<_(5T|{a>_Zk91afyMbO3TLarEos<)ir98IG&~E!d`cD5( ziGQkNwG|kxg__@ut!e=XGB%20brf?vAi3a7`#s)q;i_;^E0vA7d(S?73x%J4t1QwV zl!{rQz#!O^Y}|SlFN?3FqYiWx$HX@|^YltI*!-BFTU>Rlsz);<@l#kT<#IUo@JykH zcN8oUd$RZ|QK)WfdFOI5n^FX;Q_B9mG*%E1rTjt6+u%0f_QFDXAK$NTzPo_(&Faae zK&q}(tiW-E&pGj=u?4jHkLDIl$qpd|{5XxJ@?jnQ{L|~j!#!m{#AKfIY2I1TmF$(1 z$!k|i0uTV!^hfE{@(FdAJxfZKP(ywD5keoCy#)t$AXegARQ`EGFgPv5-l?>P>$>#k z+qr&0JT|=6Fz6nt6<1rs5nl(}=-BkD%E9>f2q%q-TUK$>HNy?E{`c1x3&g*TAzwYB zoA=sud&uEMf@En^QtLP1rmUMqn|*wqtm`;Z^@+puSNXBX@b?hAv2HN8J`0X&Goj8- zYozEmXu^o`Hm%d=6`5ybW7rw>f3-scwqvD~nLKOqhdK8;8L=PSZksB}CaAO6;xpUI}7QaI!+Y9gF;;^Qw$tvTY z6(x|xUWCAM4)F!n(IrZ)us}&nj{(tiy>crza8SsN4=K|8bwB+KK#hr(&6wpRS+v#U zv$^SK01FN+D=EowvCA~gVO~6&N1hPnPu298iAH0;g@{WWN>t_OQiWIifHzOVu^}9oBz1TRNf6bCJ)K1X zGaKzH(zY)Ix`xbaZEH?jeq>?>0;R4mi)TE_5^EZLI~JNH_t(iK1ufszdN;3*i7h?0=lNd4`Qz zb>Lt)S!N~jJmbV0)=T1kpK!EOHo{_E<52a5vqWX)$I#X&@1n;S#iMKZ39k2gm#jkJ zjUGZpwap*-5?$00I1STiw7sk@u~*{Gy!LEAR`Eua5NsB77BxJZX0$of!T(^ssMMU+ zS*zkXu0F`O-%;Ab$(d%p(tTT`e(m}02Nt<@QedN}yM$RWLE`mb^g!g8SW*$SIpB4J#rAAQZa6=UaDj;rB+QFk%a*E?OZKJYWcp`?E3yLdY!~C z%-N%uEY%j`(;1LYn+iWN?J)q~(&sd`A(ArwgZfUDhx_8YwWn*kpRm!qfhnmOpB$La zWPdG+P7;R$1dcihDZa-tCdDGNk~C6#OmaJ~DI?x0k7mv3&{4aTeD6isi4jK2i7RDj zk!YKaQ}6%AgdNaqW|x9+uQ10vu$b-+&x=1{a%^kw`HvUE-C_o{F!eBT$ zn$sC~-{ZdJ$Z|H-BizmY;*{W^bQZeFc+g#uq#2VD?d=HO-y?oNnG-Z@2cjJ>oMDjr*o|3)BDX|cqMjB2`+;9_^eC8o3s1cR(C}qU2SOAx=zh>e zz5!?d=4vM3n}zKtaKdAyPLgT)T9uO-^YDqQL|p$r!+p5_oijkh#>Vy^%$z@j9+7{* zc2t1Os>;&;M9qj8Ia%1+{PnN*=1xv_yv)q5CWcH#jwVdD<`%Y$j(?CoOeV(8%sx&g zw#K#&CXPnVOdw`sTUQ$^TLWWeCldoBa|;_YX6Q#axj2{%oLRV;#r`U@urh&}MC4S( zO&l%EY(Ci;I5@E~*%_PC{)4GI5muPrhg+U{Xc*; z{Trc)847EH20{Omzx4kU)&y<7|Axc#N6r5e&lWo?7wA8Fwse=GiR!Vv*M6k#!4$at zgb{(!th=@n6BYzkqQOh~y??>X^C-|>S~;GQB^foR>e6eEH?32^i!@%*P-Vws6cPg5 zeWJU$jPVtEy0y5cB=CG%zp_>EeHq#jx-6F2xNoi(@wR4j)_EV_! z?i=&Z7X`)mw|-WeJ^NTRc?$bt-cOXCS+-e^MP_r`_RZSJt?wOq_Yod68%vb84=@j%xWGT!SaqDK8DVQhdqp5w zAOx*AE4oM&WdUuXvTIxr2GMYo;2K`P@ukwWXcjq*x0#z|8YNJ_u~sX%G=ntTC?@-< znLZ@pi7<+J>@)dtdz7l$UgCAc9>&F^Kzrv@y}LBBKC`AP|Hjdnt@Z$Y21F`y2FCjg z?sK&G3%^N+AjLt|YA>Q!wr~1cTy->UlUNR?f|W+Ymjal112jO7sap?`P{loe;?`5n z+OKjIyz0TxJZx-L1FVOh@Kk#8(i3E2PsmB){dr5`hka@mBvqYrlc071#IB;8q}hQ` zY~C9{$_mVbC-H7EmhJXLgIZGMkR;JZmYh8*iQQLH;OieYZcMeuCRz*B41Uj zRvmcZ^o5Pw8B6NXV6>sz@Ts@>LWpb}nf#`Shad6`LQXxm=Gpwgik(xrbG#8n~?b2?^e3#dG= z`zp1d&Lq;~7_lBIhwv#4<>tmoi53BWMg~>H`D6mGUl-%dkNf$6Lk?M}WF;?P%wPK& zCinBi7yxV>nn9ib=X4Aru@W7&#d-M_cD@5)@$0Y~m&iAwd~)>j-?8yke0S&n^{MLh zuv3td*?q#f(rPz;4oqNLB;~26j4=R5f0+gh3fv?2@y1`e@k9$z>Y>f}u$b|6scht}(*7PQ=aU7WDJA%-BmBM?s-i`a|}7Al?rFWx|OwKh!e z?WXryzpEB~%1G?*qCbKy(vyO5yD>KC-B$O61@b)Oex^AMT;b#h`x_{bM0>oPAIFkP zFNbZLiIzBN&Z?UBp$fLdKJNymv1$gjj4}VnZZJ+VPl}KZb=Z-$9DX(5o>*vO6YLr)}oLmE2xtAf`p=tqlY@UF3+mxES&&x3{u)1%R$9l1Oo*JKP z8(QCg@RmMb;9$Uy#zjpAE@M{id_wt>8Y2U@_r3-z1m?uE9c7M$L2H$3$2@#Af7Bfd z9FzPIfGttJD2gA33`d&TSv!5QvA#~nKV|7^yz8$?@fk=dDRGU32$G(SP%uX|%OHpR z$ilCyW%;wxso@WptWacnH{2P3S*lqzI;!=fIK;R%%FHl8AkX{>2E&`&G}c{@LwdJx zb%&eE)J&Mb5m@`wNutmrD@82!i&sH8hz~^^06)}vtR6@H zk(*FBz2PEu<2~)9(~-fMG4U=fm$$w+w8vDg4zh?9CwI(QtCRVbLjYwSzBkynNUA#`UXHlY&v9OQ?X2j&OHAN=p}HSLr!T=#hli z2}72m0JMYxVe~W(cpwP{$1HUpaX>KYiHbqItWE?+r+z^;{EpLxaw&GNIu^#tk)Zr* z6n81)Q!-?iK3V~?JbpueHNd=O=wn+J@ta(w(RuEi7kadiY9(BQ8+-9`RlpiV+ybaq z3a?r^5F1_y5L+;>90hwODR&1)$0>^kP1I82LHwbGi>M^>UMhR*Z||@Tuoy_`B*X!} z$>h(P5x;+9&BQOTDH4XUghg$OpUTl_Q&g37{+<>6=o5X!eTUA+Iw=6(VP`w}@gYZ& z(mdEcMJ@qh*@9{^wE8NV48X~QANj!;8Bf2(qoFtywyIcXQm2i!Q=gjr^G@r|W3N$| zEq#GgE~r15l{%ph0u!iTkBny$LEY5~vz0{DmqtKpax-wr8`NRP8P;glaLEgE;oT6$ zcG5#<4=+`3|2~PEB=60O(ox1}hvH8czGl~%+6vlv{;Ci<=p9b!KKDC%_)R2#dpVS}Vf(ddGoRZ3_LX19u=vd& z&txuli1}rD(-z&a|56l~c{PMnDJGQ{2eHG(o@ItZ$u=ySxHnuXoRNH|-TAx9QCr+M zaa7-eYpn8p@?A1K@_HJP7o`W_FhAfh(2u3*W(fkCM1nQopRY|_rGe%X|GRO2(}8F{B>4BVa*}=6l=I521MbGn9K`mN;_~V*SGe z+r-#W4S@D_xt75Y5-rfHUa{v1iF{1AKjTD{X?Bkb@vx%NO~YIBtqwF%gd4$t5g%jK z1A@O010iRH3myCcai&Nx0jZJMrbx&}CE2r&p6I&uifL7sAMLji7~Dy?Y*G$i5W?pzZjzT1O|;Umdi21E)a;$tn>s zr3$1s525y)`@}8vHQVL#>fXPP8%BbJn`T#EIiLrbm1}asckI4WQyOn~YqS=>GB?Sv z&_;88gD3)M3(bQdvoUQWivZZrcY{SAwy5xSgneC#TJuMCw!;XNS76W#b4mfGi_NU8 z3OI<#RBoP5>c7@D*bTFV03dk^*Sav4)J9|xA2H#O{dt4^a~EsBA2O!*J58x)gE$Jj zbTEMi)5d2}l@^gOWv^4CyjRn6j2Pspd+)nd*T~QU3gvye!o>tTXHek!7$*!gRJK9_ zTYhK8A(qKixr=YB0?_&bQ#7yKjk#0-iz!JVIyA!+%?;YljmF(yb`E!8;JaGiCE}rT zlh@EgtX(q^dDb5q)guPO`5nOk_?H%!@4wqkD#;<4z|#P-Y6T^+s z0_eFz zC&vOETP$M3VT^#(th^r_ojS?5o#Qve^P+sAjR?ztHYVDhr`Pb@ptslf_npkyrZm1k|qN6xlalh0FG*86yX6*rKV(Qp^7)5#!(d zooyyA!O640k0H$Bg;SE9*saP8M58W#N8B5PAyDzo7lC8uTNGMxCs5;aPZc_q9aT8; zR^?sOkamw8ZKa)VG&W*a2e9ipr1{~M0e|<;d2s97-vPf^cr`ewBBQd5||oBE5}5!@r8*DjRCHy`NH|f$$C_TV8RBEUkA%9 zQc|}x@q`IZFVlnhid*>l$MjRtNU`i-l)#OT!<&cpzwBTPzt&CQULd{(J?-MT5=?ef zm>aJ>u;jShV0G6>&^NDAmS-b$w(A_eJ0XtJ!_(?k7@=?sK?s%f1#`h0#lsK!eNNeEEZ(1D2=y#K5+ zmaA70qk}n@qgwE;d=0r%-;^0_lJHt59Zuh=&&;mc;hjm}#5L|#Go!CJ9*5<6Q1zyq z(jkXB9`Kg`QMom{HC01^62xvXZUf{9(NI^{a$*hfvzI znVGsKe3Lc8=j(yIOZyi%D$_j)o;@bIPd-m}3y%+1XXua^pFS}i@Qn3_a3e=dtB;|| z!^$;6-9|;J7?-)#;WsWB%-~*+9tJNcAJYFGS1Sj3&^*sgi`@6aPVN&JZ`WN+kCMO6 z>d-l~yf!qYv{Q`M=>TDPga1b)PlFE*Rh2Wz8`n>9uvc%C5<*VNx-^I(934z5`=tmH z5-`PfR?G zMw1G-9DUv?`)Ks1@Dpy>suBz0FXf`*LVTlJDjQe3UuJc>fnru;-a!*vhs*%YD}h^V z#J7hY89KS zfoiAat!&t^fG}W%MC%9hLY?{PikT5f`JARjZsSoHHX_J*?i6OhAq5=>sqRkJ8@nJG zSVqkde4mA$V(HHU$n;!r=&;wd#_HAd%b|*>2A%rY*nwurPnMg{^goPig*$huo|2;( zMg-gp&UgPQ@?)>W3u%M<%R;Oovm#gfgDi8wF4CFZRBL@0E7~~|5#kqp;k61n;ZK3$GB$U zVFLUEnwAy^YV)%ia2fNMfLM(=KrGxwoNOFMhFl;nHUm}`11>{WQzOCu{S~_Z!5m{` z2m?zE-hE?>NR5ctJ0=`I(etJo*BT*CE*%)R_Z?VA38yi_h(%jXF#L=hpL7Xd?e0hE zxTub$y^6t*15chmC6ZUcHxI8@k!B{6w~mPjGcSd@{|DFo9}{B(|1W-^NwT2^N;d}+ RQxsMZCkhpngaR1le*v4K=Q98R literal 0 HcmV?d00001